南理工DDS实验报告

南京理工大学

电子线路课程设计

——直接数字频率合成器

学号:姓名:院系:指导老师:

电光学院姜萍

时间:

摘要

报告内容为设计一个具有清零、使能、频率控制、相位控制、输出多种波形(包括正余弦、锯齿波、方波)、提高计算精度,经过D/A转换之后能在示波器上显示的直接数字频率合成器。直接数字频率合成技术是一项非常实用的技术,它广泛的应用于数字通信系统。报告分析了DDS 的设计原理和整个电路的工作原理,介绍了ROM 查找表设计和相位累加器设计,还分别说明了各子模块的设计原理和调试、仿真、编程下载的过程。在试验中我们用到了QuartusII 7.0软件。

关键词:DDS QUARTUS II SmartSOPC 实验系统ROM 频率相位控制

Summary

The report tells Direct digital synthesizer can reset 、control using 、change frequency and phase 、output various waveform(includingsine(cosine),sawtooth ,square waveform) 、increase accuracy , and displayed on the oscilloscope after D/Aconversion 。Direct digital synthesizer technology is a useful subject ,it’swidely applied in digital communication 。Also ,it analyzes the theory and design about direct digital synthesize(DDS)and analyzed the principle of all work and explained the designing principle of different parts separately and describes the principle and features of DDS 。The realizing method of ROM finding-table and phase counter and introduced in detail 。At the same time it introduced the debugging ,simulating ,compiling ,programming 。with the help of QuartusII 7.0we complete well 。

Keyword :DDS

QUARTUSII

SmartSOPC experiment system ROM

control frequency and phase

目录

南京理工大学........................................................................................................................... 0电子线路课程设计............................................................................................................................. 0

——直接数字频率合成器................................................................... 0

摘要..................................................................................................................................................... 1一、设计内容..................................................................................................................................... 3二、设计要求..................................................................................................................................... 3三、方案论证..................................................................................................................................... 3四、各子模块设计原理..................................................................................................................... 5

4.1脉冲发生器................................................................................................................. 54.2频率预置与调节电路............................................................................................... 104.3累加器....................................................................................................................... 134.4波形存储器............................................................................................................... 144.5测频电路................................................................................................................... 204.6显示电路................................................................................................................... 234.7消颤电路组............................................................................................................... 254.8电路总图................................................................................................................... 254.9D/A转换器................................................................................................................. 274.10低通滤波器............................................................................................................. 27

五、提高精度设计........................................................................................................................... 28六、调试仿真和下载....................................................................................................................... 33七、总结........................................................................................................................................... 34八、参考文献................................................................................................................................... 34

一、设计内容

设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器(DirectDigital Frequency Synthesizer 简称DDFS 或DDS)。

二、设计要求

1、基本电路:

1、利用QuartusII 软件和SmartSOPC 实验箱实现DDS 的设计;

2、DDS中的波形存储器模块用Altera 公司的Cyclone 系列FPGA 芯片中的RAM 实现,RAM结构配置成212×10类型;

3、具体参数要求:频率控制字K 取4位;基准频率f c =1MHz,由实验板上的系统时钟分频得到;4、系统具有使能功能;

5、利用实验箱上的D/A转换器件将ROM 输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形;

6、通过开关(实验箱上的Ki)输入DDS 的频率和相位控制字,并能用示波器观察加以验证;

7、能够同时输出正余弦两路正交信号;

2、附加电路:

1、通过按键(实验箱上的S i )输入DDS 的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路)

2、充分考虑ROM 结构及正弦函数的特点,进行合理的配置,提高计算精度3、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器;

三、方案论证

1.DDS 的原理框图

组成框图:

工作流程图:

核心单元电路示意图图:

2.工作原理

DDS 即Direct Digital Frequency Synthesizer 直接数字频率合成器,是一种新型的频率合成技术。

如图所示,DDS的基本结构主要由相位累加器、相位调制器、波形存储器,D/A转换器构成。相位累加器由N 位加法器和N 位寄存器构成。每来一个时钟f c ,加法器就将频率控制字K 与累加寄存器输出的累加相位数据相加,相加结果反馈至累加寄存器的输入端,使加法器在下一个时钟的作用下继续与频率控制字相加。这样就可以不断对频率控制字进行线性相位累加。因此,相位累加器在每一个时钟脉冲输入时,频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS 输出的信号频率。用相位累加器输出的数据作为ROM 的相位取样地址,对ROM 中的波形采样,完成相位到幅值的转换,再又ROM 的输出送到D/A转换器,转换为模拟信号,通过滤波器输出。

相位累加器为N 位,正弦信号在相位上的精度也定为N 位,分辨率为1/2N 。

f c 为系统时钟频率,频率控制字K=1时,输出频率为f o =,这个频率相当于“基频”。若频率控制字为K,则输出频率为:f o =K·

≈K·244.14

当系统输入时钟频率f C 不变时,输出信号的频率由频率控制字K 所决定。则:K=

,(k为整数)

四、各子模块设计原理

4.1脉冲发生器

实验箱提供脉冲信号是48MHz,本实验需要1MHz、1KHz、1KHz、2Hz、1Hz、0.5Hz 的信号,所以需要对48MHz 进行分频,即脉冲发生电路。

4.1.02分频电路

2分频电路是通过将D 触发器的与D 端连在一起就可从Q 端得到2分频信号,如图:

封装图:

仿真结果:

4.1.15分频电路

5分频电路通过74160用置数法实现。其输出端Q D Q C Q B Q A 按照如下方式计数既可实现5分频,信号输出由Q B 输出。

0000

0001

0010

0011

0100

电路如图:

封装图:

仿真结果:

4.1.210分频电路

由74160构成模10计数器实现10分频,卡诺图如下:

B Q A Q D 0011×

011×

111××

101××

000111

电路图如下:

封装图:

仿真结果:

4.1.348分频电路

原理同上,由74260构成模48电路,实现48分频电路图如下:

封装图:

仿真结果:

4.1.4500分频电路

封装图:

仿真结果:input=10ps

4.1.51000分频电路

有3个10

分频电路串联而成

封装图:

仿真结果:input=10ps

4.1.6脉冲发生器

脉冲发生器电路图:

封装图:

4.2频率预置与调节电路

4.2.0

模100电路

设计频率调节模块时,用74161设计模100模块,2HZ信号输入让其变化。这里把实验要求的模16改成模100,是为了使输出频率的调节范围更大一些。该模块有清零和保持端,由开关控制,以便计数到需要值时保持或者清零。输出为7位二进制码,再输入累加器进行累加。

74161是二进制计数器,当输出为1100100(十进制:100)时,置零,构成模100的二进制计数器

电路图如下:

10/

35

q[3]q[6]q[7]

封装图:

仿真结果:

4.2.1频率控制

本实验中,加法器是12位的们因此要将频率控制字作为12位地址的低7位输出,高5位置零,可以使频率调节精度更高。

电路图如下:

11/35

封装图:

4.2.2相位控制

而相位控制字要作为12位地址的高7位输出,低5位置0,这样可以使相位调节幅度变大。

电路图如下:

封装图:

12/35

4.3累加器

4.3.0

设计原理

累加器原理框图:

相位累加器=N位加法器+N位寄存器。

如图,寄存器将加法器的上一个时钟作用后所产生的相位数据反馈至加法器的输入端,使加法器在下一个时钟作用下继续与频率控制字K 进行相加。这样,相位累加器在时钟作用下,进行相位累加。当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作。

4.3.1加法器

相位累加器输出与相位控制字相加的12位加法器可由3个7483的4位加法器级联而成。其实这就是12位相位累加器的一部分。

电路图如下:

13/35

封装图:

4.3.2累加器

累加器=加法器+寄存器

电路图如下:

f [12..1]f kz[12..1]

s[1]s[2]s[3]s[4]s[5]s[6]11mhz

f [1]f [2]f [3]f [4]f [5]f [6]

s[7]s[8]s[9]11mhz

f [7]f [8]f [9]f [10]f [11]f [12]

封装图:

4.4波形存储器

本实验共设计了4种波形,有正弦,余弦,方波和锯齿波。另加入一组正弦波作为调节相位时与原正弦的比较,所以共有5个波形。

正弦波的输出值直接在DAC0板,其余四种波的输出值经过一个4选一数据选择器,由两个开关控制选择波形输出到DAC1板。

14/35

4.4.0mif 文件的生成

A:正弦波

N

N(12)位的寻址ROM 相当于把0—2π的正弦信号离散成具有2个样值的序

N

列,波形ROM 有10位数据位,所以设置2个样值的sin 值以10位二进制数值固化在ROM 中,所以ROM 中的数据范围应该从0到1023,但是正弦值只从-1到1,所以要对其进行量化,公式如下:

存储数据=INT(sin(n×2π/4096))×512+512)(n为存储地址,范围是从0到4095。)

用excel 工具生成数据,复制进新建的.mif文件中。

如下图所示:

B.余弦波

与正弦波同理,其公式为:

存储数据=INT(cos(n×2π/4096))×512+512)(n取0到4095) 用excel 工具生成数据,复制进新建的.mif文件中。如下图所示:

15/35

C.锯齿波

与正弦波同理。

用excel 工具生成数据,复制进新建的.mif文件中。

如下图所示:

16/

35

D.锯齿波

与正弦波同理,其公式为:存储数据=INT(n/4)(n取0到4095) 用excel 工具生成数据,复制进新建的.mif文件中。

如下图所示:

4.4.1lpm_rom

在元器库中选取LPM-ROM,设置ROM 的信息,数据宽度为10bits,数据个数为4096;设置ROM 为寄存器输出,不需要时钟和异步清零信号;指定ROM 的初始化数据来源,选择刚刚所生成的mif 文件。然后点击“finish”即可生成ROM 单元了。

正弦波:

余弦波:

17/35

方波:

总电路图如下:

f[11..0]1mhz

1mhz

fb[9..0]jc[9..0]c[9..0]s2[9..0]

k 1mhz

p[11..0]1mhz

1mhz

封装图:

说明:

key[1..0]:选择波形输出开关

(00:正弦;01:余弦;10:锯齿波;

11:方波)

sin:输出sin 波形

OUT:输出4种提高波形

18/35

正弦(key[1..0]=00)

余弦(key[1..0]=01)

锯齿(key[1..0]=10)

19/35

方波(key[1..0]=11)

相位变化比较:

4. 5测频电路

4.5.0设计原理

原理图如下:

波形示意图:

测频就是计算1秒钟内脉冲的个数。利用计数器和锁存器来实现。

由于累加器累加满量时就会产生溢出,完成一次周期动作,即DDS 信号的一个频率周期,所以将累加器的最高位作为测频电路计数器的时钟脉冲。

测频的核心是利用非门的延时特性。将0.5HZ 信号送入锁存器时钟端,0.5HZ 加非门反向延时后送入计数器清零端。就可得到,在2s 脉冲周期内,1s清零,1s 计数。由于锁存器的脉冲和计数器的脉冲是反相的,且有一定的延时,所以当锁存器有效脉冲来到时,计数器是计数的末状态,锁存器就锁存前1s 内计数器的计数信号,计数器进入清零状态等待下一秒的计数,这样就完成了1s 内的脉冲计数。

再将锁存器的输出送入显示电路,就可以显示所测频率值。4.5.1

测频电路

由于本实验f 0=K·

(K=1~99,)

所以频率范围约为:244~24156hz

由于实验仪器限制,我们只取寄存器的高4位,低位忽略读做0。

05HZ

q

封装图:

仿真波形:

测出频率为25000hz

4.6显示电路

电路需要调节相位控制字,频率控制字来观察验证波形,以及测频电路的显示,需要用显示电路进行显示。

4.6.0二进制转BCD 码

频率控制字和相位控制字都是二进制码,要转换成8421BCD 码才能在数码管上显示。因此先通过译码电路实现此转换再输入显示电路。

可直接选用74185,二进制——BCD代码转换器。电路如下图:

封装图:

4.6.1显示电路

显示电路由数据选择器74151,译码器74138,计数器74161,显示译码器7447和数码显示管组成。

因为实验只使用一个显示译码器7447,所以要用动态扫描显示法进行数据显示。没显示一位,按照现实时间轮流显示,每个显示位为四位二进制数,需要四片数据选择器。因为需要显示相位控制字,频率控制字以及高四位测频电路输出,所以需要8位。需要一个模8循环计数器作为选择器的地址端,轮流选择数据,另用一个3-8译码器来选择数码管(DIG)显示对应的位。

电路图如下:

ao[0]bo[0]co[0]do[0]FL[0]FH[0]PL[0]PH[0]

ao[1]bo[1]co[1]do[1]FL[1]FH[1]PL[1]PH[1]

ao[2]bo[2]co[2]do[2]FL[2]FH[2]PL[2]PH[2]

ao[3]bo[3]co[3]

do[3]FL[3]FH[3]PL[3]PH[3]

1

封装图:

4.7消颤电路组

消颤电路图:

电路图如下:

1HZ

1HZ

1HZ

1HZ

1HZ

1HZ

封装图:

4.8电路总图

4.8.0核心电路图

4.8.1

总电路图

SIN[9..0]OUT[9..0]FZ[6..0]PZ[6..0]F11

ao[3..0]bo[3..0]co[3..0]do[3..0]

ao[3..0]bo[3..0]

PL[3..0]PH[3..0]FL[3..0]FH[3..0]

co[3..0]do[3..0]

DIG[7..0]EN[7..1]

1MHZ

4.9D/A转换器

D/A转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t)

4.10低通滤波器

滤除生成的阶梯形正弦波中的高频成分,将其变成光滑的正弦波。

五、提高精度设计

为了提高波形的精度,实验设计用1/4波形数据产生整个周期的波形。具体实现方法是:将0~π/2波形存进ROM 中,为了由仅有的1/4波形产生整个周期的波形,采用地址取反和输出取反的方法,以累加器的最高位取反为使能信号,做一个模四的计数器,每读完一次ROM 计数一次,产生q[0]、q[1]两个控制信号分别控制地址的取反和输出的取反。当“q[0]q[1]”为“00”时,地址不取反输出不取反;当“q[0]q[1]”为“01”时,地址取反输出不取反;当“q[0]q[1]”为“10”时,地址不取反输出取反;当“q[0]q[1]”为“11”时,地址取反输出取反。由此产生了整个周期的波形。

1/4波形数据产生整个周期波形的原理:第一个1/4周期量化值为0~512。第二个1/4周期设计为地址取反,即将第一个1/4周期波形以y 轴对称翻转得到。第三个1/4周期设计将ROM 的输出取反,即将第一个1/4周期的ROM 输出取反加1,利用有符号数得到负值。第四个1/4周期设计为地址和输出取反。

由于实验中波形已经量化为0~512,所以本实验对ROM 输出只进行取反而没有加1,对观测波形影响不大。5.1

子模块设计原理1/4波形ROM:mif

文件:

封装图:

模四计数器:

地址取反电路:

封装图:

输出取反电路:

封装图:

5.2.0核心电路图:

f kz[12..1]

q0f [11..0]

封装图:

说明:

fkz:频率控制字输入

OUT :提高精度后输出波形q :计数器输出

qq :地址取反输出波形

仿真结果:

5.2.1

总图:

1MHZ 1KHZ 2HZ 1HZ

FKZ[6..0]

1MHZ

下图仿真波形说明:

K1:清零开关,低有效OUT:提高精度后输出波形SIN :为提高精度的波形

分析:

由仿真波形可看出提高精度后1/4周期等于原波形的一个周期,

表明在原基础上提高了4倍精度

仿真波形:

六、调试仿真和下载

调试:

将设计好的电路保存在工程文件夹中(不能有中文路径)。再将文件置顶,最后进行编译。在主菜单中选择processing→compiler tool→start,则编译开始。在编译过程中,若有任何信息、错误和警告消息,编译将停止,则需要返回原文件进行修改,修改后存盘,再编译直至文件通过。

仿真:

将要仿真的原理图文件进行置顶编译,然后新建一个“VectorWaveform file”文件,生成波形文件(.vwf)。左键双击节点区空白处,在弹出的菜单中选Node Finder,在弹出的对话框中选择要观测的节点。选edit→GridSize 和edit→EndTime,设置相应选项,并给输入引脚加上适当的信号,然后保存。接着选择主菜单中的processing 项,在弹出的对话框中选择simulator tool 选项。若要进行功能仿真,首先要点击Generate Functional Simulation Netlist 选项。

仿真波形在四、五部分已经给出。

下载:

1. 在主菜单Assignments 中选择Device 项,在弹出的对话框中选择相应的器件EP1C12Q240C8。再选中Device and Pin Options 选项,选择Unused Pins 选项,选择as input tri-stated 选项。

2. 在主菜单Assignments 选“Pins”,打开平面布置图编辑器窗口,将设计的电路图中的各输入输出锁定在相应的管脚上。

3. 在主菜单选File\Save保存文件,再次编译项目,生成.sof文件,以用于下载。

4. 在主菜单Tools 选Programmer,选择program/configure,再选择start,即完成下载到实验台上。

七、总结

在上一个星期的EDA (二)中使用过quartusII 软件,所以本次试验对软件比较熟悉,操作起来更快了。

本次实验中遇到了一些问题:

实验中用到了ROM 器件,和mif 文件,在软件编译时经常出问题,后来发现定义了ROM 后,需要再在工程里添加一次,才能正常编译。

在完成测频电路时,下载进实验台后,发现测频的最大频率小于理论频率,经分析,频率是测量1秒内的脉冲个数,说明脉冲个数比理论值少,则是实际的1秒小于理论的1秒,应该是信号发生器出错。果然在更改过分频器后,测频出的频率符合理论值了。

在做提高精度部分,遇到最大难题是,怎样把1/4的波形合成完整周期的波形,在查阅资料和询问老师之后,找到了实现方法。

经过一个星期的实验,我发现自己理论知识的欠缺,在不断的学习和动手过程中,巩固了之前遗忘的知识。在实验过程中,积累了很多经验。

在不断的测试,失败中不断地修改,最终完成了实验。很大程度的提高了我在分析实验,找出错误和不足的能力。在一次次的修改中,不断地提高,完善和改进,在最初的基础上不断提出和实施更优的方案。

整个实验中,我还收获到的是查找资料的能力。需要实现某个功能时,就需要查找资料寻找相符合的元器件和其功能表,查找一些更好的电路设计思路,减少电路的复杂度,节约元器件达到最大利用率。

在每个实验之前首先都要有完整的框架和方案论证,不要盲目动手,想到什么就做什么。对每一个细节都要全面思考,不能影响到其他模块。遇到问题要分析清楚,根据现象分析原因,找出直接原因,一级一级的检查。

总之,在这次实验中,我学到了很多,并且对自己的能力有了很大的提升。

八、参考文献

[1]《数字逻辑电路》——蒋立平姜萍谭雪琴花汉兵.电子工业出版社[2]《EDA设计实验指导书》——南京理工大学电子技术中心

南京理工大学

电子线路课程设计

——直接数字频率合成器

学号:姓名:院系:指导老师:

电光学院姜萍

时间:

摘要

报告内容为设计一个具有清零、使能、频率控制、相位控制、输出多种波形(包括正余弦、锯齿波、方波)、提高计算精度,经过D/A转换之后能在示波器上显示的直接数字频率合成器。直接数字频率合成技术是一项非常实用的技术,它广泛的应用于数字通信系统。报告分析了DDS 的设计原理和整个电路的工作原理,介绍了ROM 查找表设计和相位累加器设计,还分别说明了各子模块的设计原理和调试、仿真、编程下载的过程。在试验中我们用到了QuartusII 7.0软件。

关键词:DDS QUARTUS II SmartSOPC 实验系统ROM 频率相位控制

Summary

The report tells Direct digital synthesizer can reset 、control using 、change frequency and phase 、output various waveform(includingsine(cosine),sawtooth ,square waveform) 、increase accuracy , and displayed on the oscilloscope after D/Aconversion 。Direct digital synthesizer technology is a useful subject ,it’swidely applied in digital communication 。Also ,it analyzes the theory and design about direct digital synthesize(DDS)and analyzed the principle of all work and explained the designing principle of different parts separately and describes the principle and features of DDS 。The realizing method of ROM finding-table and phase counter and introduced in detail 。At the same time it introduced the debugging ,simulating ,compiling ,programming 。with the help of QuartusII 7.0we complete well 。

Keyword :DDS

QUARTUSII

SmartSOPC experiment system ROM

control frequency and phase

目录

南京理工大学........................................................................................................................... 0电子线路课程设计............................................................................................................................. 0

——直接数字频率合成器................................................................... 0

摘要..................................................................................................................................................... 1一、设计内容..................................................................................................................................... 3二、设计要求..................................................................................................................................... 3三、方案论证..................................................................................................................................... 3四、各子模块设计原理..................................................................................................................... 5

4.1脉冲发生器................................................................................................................. 54.2频率预置与调节电路............................................................................................... 104.3累加器....................................................................................................................... 134.4波形存储器............................................................................................................... 144.5测频电路................................................................................................................... 204.6显示电路................................................................................................................... 234.7消颤电路组............................................................................................................... 254.8电路总图................................................................................................................... 254.9D/A转换器................................................................................................................. 274.10低通滤波器............................................................................................................. 27

五、提高精度设计........................................................................................................................... 28六、调试仿真和下载....................................................................................................................... 33七、总结........................................................................................................................................... 34八、参考文献................................................................................................................................... 34

一、设计内容

设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器(DirectDigital Frequency Synthesizer 简称DDFS 或DDS)。

二、设计要求

1、基本电路:

1、利用QuartusII 软件和SmartSOPC 实验箱实现DDS 的设计;

2、DDS中的波形存储器模块用Altera 公司的Cyclone 系列FPGA 芯片中的RAM 实现,RAM结构配置成212×10类型;

3、具体参数要求:频率控制字K 取4位;基准频率f c =1MHz,由实验板上的系统时钟分频得到;4、系统具有使能功能;

5、利用实验箱上的D/A转换器件将ROM 输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形;

6、通过开关(实验箱上的Ki)输入DDS 的频率和相位控制字,并能用示波器观察加以验证;

7、能够同时输出正余弦两路正交信号;

2、附加电路:

1、通过按键(实验箱上的S i )输入DDS 的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路)

2、充分考虑ROM 结构及正弦函数的特点,进行合理的配置,提高计算精度3、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器;

三、方案论证

1.DDS 的原理框图

组成框图:

工作流程图:

核心单元电路示意图图:

2.工作原理

DDS 即Direct Digital Frequency Synthesizer 直接数字频率合成器,是一种新型的频率合成技术。

如图所示,DDS的基本结构主要由相位累加器、相位调制器、波形存储器,D/A转换器构成。相位累加器由N 位加法器和N 位寄存器构成。每来一个时钟f c ,加法器就将频率控制字K 与累加寄存器输出的累加相位数据相加,相加结果反馈至累加寄存器的输入端,使加法器在下一个时钟的作用下继续与频率控制字相加。这样就可以不断对频率控制字进行线性相位累加。因此,相位累加器在每一个时钟脉冲输入时,频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS 输出的信号频率。用相位累加器输出的数据作为ROM 的相位取样地址,对ROM 中的波形采样,完成相位到幅值的转换,再又ROM 的输出送到D/A转换器,转换为模拟信号,通过滤波器输出。

相位累加器为N 位,正弦信号在相位上的精度也定为N 位,分辨率为1/2N 。

f c 为系统时钟频率,频率控制字K=1时,输出频率为f o =,这个频率相当于“基频”。若频率控制字为K,则输出频率为:f o =K·

≈K·244.14

当系统输入时钟频率f C 不变时,输出信号的频率由频率控制字K 所决定。则:K=

,(k为整数)

四、各子模块设计原理

4.1脉冲发生器

实验箱提供脉冲信号是48MHz,本实验需要1MHz、1KHz、1KHz、2Hz、1Hz、0.5Hz 的信号,所以需要对48MHz 进行分频,即脉冲发生电路。

4.1.02分频电路

2分频电路是通过将D 触发器的与D 端连在一起就可从Q 端得到2分频信号,如图:

封装图:

仿真结果:

4.1.15分频电路

5分频电路通过74160用置数法实现。其输出端Q D Q C Q B Q A 按照如下方式计数既可实现5分频,信号输出由Q B 输出。

0000

0001

0010

0011

0100

电路如图:

封装图:

仿真结果:

4.1.210分频电路

由74160构成模10计数器实现10分频,卡诺图如下:

B Q A Q D 0011×

011×

111××

101××

000111

电路图如下:

封装图:

仿真结果:

4.1.348分频电路

原理同上,由74260构成模48电路,实现48分频电路图如下:

封装图:

仿真结果:

4.1.4500分频电路

封装图:

仿真结果:input=10ps

4.1.51000分频电路

有3个10

分频电路串联而成

封装图:

仿真结果:input=10ps

4.1.6脉冲发生器

脉冲发生器电路图:

封装图:

4.2频率预置与调节电路

4.2.0

模100电路

设计频率调节模块时,用74161设计模100模块,2HZ信号输入让其变化。这里把实验要求的模16改成模100,是为了使输出频率的调节范围更大一些。该模块有清零和保持端,由开关控制,以便计数到需要值时保持或者清零。输出为7位二进制码,再输入累加器进行累加。

74161是二进制计数器,当输出为1100100(十进制:100)时,置零,构成模100的二进制计数器

电路图如下:

10/

35

q[3]q[6]q[7]

封装图:

仿真结果:

4.2.1频率控制

本实验中,加法器是12位的们因此要将频率控制字作为12位地址的低7位输出,高5位置零,可以使频率调节精度更高。

电路图如下:

11/35

封装图:

4.2.2相位控制

而相位控制字要作为12位地址的高7位输出,低5位置0,这样可以使相位调节幅度变大。

电路图如下:

封装图:

12/35

4.3累加器

4.3.0

设计原理

累加器原理框图:

相位累加器=N位加法器+N位寄存器。

如图,寄存器将加法器的上一个时钟作用后所产生的相位数据反馈至加法器的输入端,使加法器在下一个时钟作用下继续与频率控制字K 进行相加。这样,相位累加器在时钟作用下,进行相位累加。当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作。

4.3.1加法器

相位累加器输出与相位控制字相加的12位加法器可由3个7483的4位加法器级联而成。其实这就是12位相位累加器的一部分。

电路图如下:

13/35

封装图:

4.3.2累加器

累加器=加法器+寄存器

电路图如下:

f [12..1]f kz[12..1]

s[1]s[2]s[3]s[4]s[5]s[6]11mhz

f [1]f [2]f [3]f [4]f [5]f [6]

s[7]s[8]s[9]11mhz

f [7]f [8]f [9]f [10]f [11]f [12]

封装图:

4.4波形存储器

本实验共设计了4种波形,有正弦,余弦,方波和锯齿波。另加入一组正弦波作为调节相位时与原正弦的比较,所以共有5个波形。

正弦波的输出值直接在DAC0板,其余四种波的输出值经过一个4选一数据选择器,由两个开关控制选择波形输出到DAC1板。

14/35

4.4.0mif 文件的生成

A:正弦波

N

N(12)位的寻址ROM 相当于把0—2π的正弦信号离散成具有2个样值的序

N

列,波形ROM 有10位数据位,所以设置2个样值的sin 值以10位二进制数值固化在ROM 中,所以ROM 中的数据范围应该从0到1023,但是正弦值只从-1到1,所以要对其进行量化,公式如下:

存储数据=INT(sin(n×2π/4096))×512+512)(n为存储地址,范围是从0到4095。)

用excel 工具生成数据,复制进新建的.mif文件中。

如下图所示:

B.余弦波

与正弦波同理,其公式为:

存储数据=INT(cos(n×2π/4096))×512+512)(n取0到4095) 用excel 工具生成数据,复制进新建的.mif文件中。如下图所示:

15/35

C.锯齿波

与正弦波同理。

用excel 工具生成数据,复制进新建的.mif文件中。

如下图所示:

16/

35

D.锯齿波

与正弦波同理,其公式为:存储数据=INT(n/4)(n取0到4095) 用excel 工具生成数据,复制进新建的.mif文件中。

如下图所示:

4.4.1lpm_rom

在元器库中选取LPM-ROM,设置ROM 的信息,数据宽度为10bits,数据个数为4096;设置ROM 为寄存器输出,不需要时钟和异步清零信号;指定ROM 的初始化数据来源,选择刚刚所生成的mif 文件。然后点击“finish”即可生成ROM 单元了。

正弦波:

余弦波:

17/35

方波:

总电路图如下:

f[11..0]1mhz

1mhz

fb[9..0]jc[9..0]c[9..0]s2[9..0]

k 1mhz

p[11..0]1mhz

1mhz

封装图:

说明:

key[1..0]:选择波形输出开关

(00:正弦;01:余弦;10:锯齿波;

11:方波)

sin:输出sin 波形

OUT:输出4种提高波形

18/35

正弦(key[1..0]=00)

余弦(key[1..0]=01)

锯齿(key[1..0]=10)

19/35

方波(key[1..0]=11)

相位变化比较:

4. 5测频电路

4.5.0设计原理

原理图如下:

波形示意图:

测频就是计算1秒钟内脉冲的个数。利用计数器和锁存器来实现。

由于累加器累加满量时就会产生溢出,完成一次周期动作,即DDS 信号的一个频率周期,所以将累加器的最高位作为测频电路计数器的时钟脉冲。

测频的核心是利用非门的延时特性。将0.5HZ 信号送入锁存器时钟端,0.5HZ 加非门反向延时后送入计数器清零端。就可得到,在2s 脉冲周期内,1s清零,1s 计数。由于锁存器的脉冲和计数器的脉冲是反相的,且有一定的延时,所以当锁存器有效脉冲来到时,计数器是计数的末状态,锁存器就锁存前1s 内计数器的计数信号,计数器进入清零状态等待下一秒的计数,这样就完成了1s 内的脉冲计数。

再将锁存器的输出送入显示电路,就可以显示所测频率值。4.5.1

测频电路

由于本实验f 0=K·

(K=1~99,)

所以频率范围约为:244~24156hz

由于实验仪器限制,我们只取寄存器的高4位,低位忽略读做0。

05HZ

q

封装图:

仿真波形:

测出频率为25000hz

4.6显示电路

电路需要调节相位控制字,频率控制字来观察验证波形,以及测频电路的显示,需要用显示电路进行显示。

4.6.0二进制转BCD 码

频率控制字和相位控制字都是二进制码,要转换成8421BCD 码才能在数码管上显示。因此先通过译码电路实现此转换再输入显示电路。

可直接选用74185,二进制——BCD代码转换器。电路如下图:

封装图:

4.6.1显示电路

显示电路由数据选择器74151,译码器74138,计数器74161,显示译码器7447和数码显示管组成。

因为实验只使用一个显示译码器7447,所以要用动态扫描显示法进行数据显示。没显示一位,按照现实时间轮流显示,每个显示位为四位二进制数,需要四片数据选择器。因为需要显示相位控制字,频率控制字以及高四位测频电路输出,所以需要8位。需要一个模8循环计数器作为选择器的地址端,轮流选择数据,另用一个3-8译码器来选择数码管(DIG)显示对应的位。

电路图如下:

ao[0]bo[0]co[0]do[0]FL[0]FH[0]PL[0]PH[0]

ao[1]bo[1]co[1]do[1]FL[1]FH[1]PL[1]PH[1]

ao[2]bo[2]co[2]do[2]FL[2]FH[2]PL[2]PH[2]

ao[3]bo[3]co[3]

do[3]FL[3]FH[3]PL[3]PH[3]

1

封装图:

4.7消颤电路组

消颤电路图:

电路图如下:

1HZ

1HZ

1HZ

1HZ

1HZ

1HZ

封装图:

4.8电路总图

4.8.0核心电路图

4.8.1

总电路图

SIN[9..0]OUT[9..0]FZ[6..0]PZ[6..0]F11

ao[3..0]bo[3..0]co[3..0]do[3..0]

ao[3..0]bo[3..0]

PL[3..0]PH[3..0]FL[3..0]FH[3..0]

co[3..0]do[3..0]

DIG[7..0]EN[7..1]

1MHZ

4.9D/A转换器

D/A转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t)

4.10低通滤波器

滤除生成的阶梯形正弦波中的高频成分,将其变成光滑的正弦波。

五、提高精度设计

为了提高波形的精度,实验设计用1/4波形数据产生整个周期的波形。具体实现方法是:将0~π/2波形存进ROM 中,为了由仅有的1/4波形产生整个周期的波形,采用地址取反和输出取反的方法,以累加器的最高位取反为使能信号,做一个模四的计数器,每读完一次ROM 计数一次,产生q[0]、q[1]两个控制信号分别控制地址的取反和输出的取反。当“q[0]q[1]”为“00”时,地址不取反输出不取反;当“q[0]q[1]”为“01”时,地址取反输出不取反;当“q[0]q[1]”为“10”时,地址不取反输出取反;当“q[0]q[1]”为“11”时,地址取反输出取反。由此产生了整个周期的波形。

1/4波形数据产生整个周期波形的原理:第一个1/4周期量化值为0~512。第二个1/4周期设计为地址取反,即将第一个1/4周期波形以y 轴对称翻转得到。第三个1/4周期设计将ROM 的输出取反,即将第一个1/4周期的ROM 输出取反加1,利用有符号数得到负值。第四个1/4周期设计为地址和输出取反。

由于实验中波形已经量化为0~512,所以本实验对ROM 输出只进行取反而没有加1,对观测波形影响不大。5.1

子模块设计原理1/4波形ROM:mif

文件:

封装图:

模四计数器:

地址取反电路:

封装图:

输出取反电路:

封装图:

5.2.0核心电路图:

f kz[12..1]

q0f [11..0]

封装图:

说明:

fkz:频率控制字输入

OUT :提高精度后输出波形q :计数器输出

qq :地址取反输出波形

仿真结果:

5.2.1

总图:

1MHZ 1KHZ 2HZ 1HZ

FKZ[6..0]

1MHZ

下图仿真波形说明:

K1:清零开关,低有效OUT:提高精度后输出波形SIN :为提高精度的波形

分析:

由仿真波形可看出提高精度后1/4周期等于原波形的一个周期,

表明在原基础上提高了4倍精度

仿真波形:

六、调试仿真和下载

调试:

将设计好的电路保存在工程文件夹中(不能有中文路径)。再将文件置顶,最后进行编译。在主菜单中选择processing→compiler tool→start,则编译开始。在编译过程中,若有任何信息、错误和警告消息,编译将停止,则需要返回原文件进行修改,修改后存盘,再编译直至文件通过。

仿真:

将要仿真的原理图文件进行置顶编译,然后新建一个“VectorWaveform file”文件,生成波形文件(.vwf)。左键双击节点区空白处,在弹出的菜单中选Node Finder,在弹出的对话框中选择要观测的节点。选edit→GridSize 和edit→EndTime,设置相应选项,并给输入引脚加上适当的信号,然后保存。接着选择主菜单中的processing 项,在弹出的对话框中选择simulator tool 选项。若要进行功能仿真,首先要点击Generate Functional Simulation Netlist 选项。

仿真波形在四、五部分已经给出。

下载:

1. 在主菜单Assignments 中选择Device 项,在弹出的对话框中选择相应的器件EP1C12Q240C8。再选中Device and Pin Options 选项,选择Unused Pins 选项,选择as input tri-stated 选项。

2. 在主菜单Assignments 选“Pins”,打开平面布置图编辑器窗口,将设计的电路图中的各输入输出锁定在相应的管脚上。

3. 在主菜单选File\Save保存文件,再次编译项目,生成.sof文件,以用于下载。

4. 在主菜单Tools 选Programmer,选择program/configure,再选择start,即完成下载到实验台上。

七、总结

在上一个星期的EDA (二)中使用过quartusII 软件,所以本次试验对软件比较熟悉,操作起来更快了。

本次实验中遇到了一些问题:

实验中用到了ROM 器件,和mif 文件,在软件编译时经常出问题,后来发现定义了ROM 后,需要再在工程里添加一次,才能正常编译。

在完成测频电路时,下载进实验台后,发现测频的最大频率小于理论频率,经分析,频率是测量1秒内的脉冲个数,说明脉冲个数比理论值少,则是实际的1秒小于理论的1秒,应该是信号发生器出错。果然在更改过分频器后,测频出的频率符合理论值了。

在做提高精度部分,遇到最大难题是,怎样把1/4的波形合成完整周期的波形,在查阅资料和询问老师之后,找到了实现方法。

经过一个星期的实验,我发现自己理论知识的欠缺,在不断的学习和动手过程中,巩固了之前遗忘的知识。在实验过程中,积累了很多经验。

在不断的测试,失败中不断地修改,最终完成了实验。很大程度的提高了我在分析实验,找出错误和不足的能力。在一次次的修改中,不断地提高,完善和改进,在最初的基础上不断提出和实施更优的方案。

整个实验中,我还收获到的是查找资料的能力。需要实现某个功能时,就需要查找资料寻找相符合的元器件和其功能表,查找一些更好的电路设计思路,减少电路的复杂度,节约元器件达到最大利用率。

在每个实验之前首先都要有完整的框架和方案论证,不要盲目动手,想到什么就做什么。对每一个细节都要全面思考,不能影响到其他模块。遇到问题要分析清楚,根据现象分析原因,找出直接原因,一级一级的检查。

总之,在这次实验中,我学到了很多,并且对自己的能力有了很大的提升。

八、参考文献

[1]《数字逻辑电路》——蒋立平姜萍谭雪琴花汉兵.电子工业出版社[2]《EDA设计实验指导书》——南京理工大学电子技术中心


相关文章

  • 通信原理实验报告一
  • 实验一 信号源实验 一.实验目的 1.了解通信系统的一般模型及信源在整个通信系统中的作用. 2.掌握信号源模块的使用方法. 二.实验内容 1.对应液晶屏显示,观测DDS信源输出波形. 2.观测各路数字信源输出. 3.观测正弦点频信源输出. ...查看


  • 三相桥式整流及有源逆变
  • 实验报告 课程名称: 电力电子技术 指导老师: 马 皓 成绩: 实验名称: 三相桥式整流及有源逆变 实验类型: 同组学生姓名: 一.实验目的和要求(必填) 二.实验内容和原理(必填) 三.主要仪器设备(必填) 四.操作方法和实验步骤 五.实 ...查看


  • 电子设计竞赛论文范文
  • 低频数字式相位测量仪 摘要:本设计给出了以凌阳16位单片机Spce061A 为核心的数字式相位测量的基本原理与实现方案.该系统由相位测量仪.数字式移相信号发生器和移相网络三个模块构成,分别由两块单片机独立地实现控制与显示功能.采用DDS 技 ...查看


  • 硅酸盐学报990113
  • 硅酸盐学报990113硅酸盐学报 JOURNAL OF THE CHINESE CERAMIC SOCIETY 1999年 第27卷 第1期 Vol.27 No.1 1999 60%(CH3)2Si(OEt)2/40%Si(OEt)4有机- ...查看


  • 变压器实验
  • 第一章 变压器实验 1-1 单相变压器 一.实验目的 1.进行电机实验的安全教育和明确实验的基本要求. 2.认识在电机实验中所用的电机.仪表.变阻器等组件. 3.通过空载和短路实验测定变压器的变比和参数. 4.通过负载实验测取变压器的运行特 ...查看


  • Verilog期末实验报告-波形发生器
  • 深 圳 大 学 实 验 报 告 课程名称: Verilog使用及其应用 实验名称: 频率可变的任意波形发生器 学院: 电子科学与技术学院 专业:电子科学与技术 班级: 2 组号: 指导教师: 刘春平 报告人: 陈昊 学号: 20071601 ...查看


  • 频率特性测试仪
  • <国外电子元器件>2008年第12期测控与仪器仪表 频率特性测试仪 施智强,何欣,唐铭杰,沈骋曦 (武汉大学电子信息学院,湖北武汉430079) 摘要:以集成的直接数字合成器(DDS)AD9851为核心,利用现场可编程门阵列(F ...查看


  • DDS-307型实验室电导率仪使用说明
  • DDS-307型电导率仪 目 录 一.概述 二.仪器的特点 三.主要技术性能 四.仪器介绍 五.仪器的使用方法 六.注意事项 七.仪器的成套性 八.附录 一.概述 DDS-307型电导率仪(以下简称仪器)适用于实验室测定一般液体的电导率,而 ...查看


  • DDS数字移相器
  • 黔南民族师范学院学报2006年第3期 DDS 数字移相器王利众 (中央民族大学物理与电子工程学院, 北京 100081) 摘 要:直接数字式频率合成技术(DDS ) , , 给出了一种DDS 数字移相器的设计方案. 关键词:直接数字式频率合 ...查看


热门内容