EDA实验一 七人表决器

河 北 科 技 大 学

实 验 报 告

2013级 电信 专业 132 班 学号130701213 2016年5月23日 姓 名 田继辉 同组人 指导教师 于国庆 实验名称 实验一 七人表决器 成 绩 实验类型 设计型 批阅教师 一、实验目的

(1)掌握MUXPLUS II语言输入的设计过程。

(2)初步了解VHDL 语言。

(3)熟悉FPGA 项目设计的基本流程。

二、实验原理:

用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”; 输入变量为逻辑“0”时表示表决者“不赞同”;输出逻辑“1”时,表示表决“通过”; 输出逻辑“0”时,表示表决“不通过”;当表决器的七个输入变量中有4个及以上为“1”时,则表决器输出为“1”,否则为“0”。

表决器输入采用试验箱K1~K16,输出采用试验箱L15、L16指示;同意红灯亮,否则黄灯亮。

三、实验内容及步骤

1.打开MUXPLUS II VHDL编辑器,完成七人表决器的设计。包括VHDL 程序输入、编译、综合。

实验程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY vote7 IS

1 PORT ( men : IN std_logic_vector(6 downto 0);

);

pass,stop : buffer std_logic END vote7;

ARCHITECTURE behave OF vote7 IS

BEGIN

stop

PROCESS (men) BEGIN for i in 0 to 6 loop variable temp:std_logic_vector(2 downto 0); temp:="000";

if(men(i)='1') then

temp:=temp+1;

else

temp:=temp+0;

end if;

end loop;

pass

END PROCESS;

END behave;

2、建立仿真波形文件,使用 MAXPLUS II Simulator功能进行功能仿真。

仿真结果如下:

3、目标器件选择与管脚锁定并重新编译、综合、适配。

2

FPGA 型号:EP1K100QC208-3

引脚绑定:

4、下载并验证结果

将编译好的程序进行一系列的元件引脚绑定之后,Programmer –> Configuration 成功,测试按键发现有个键按下去了但是并未显示想要的结果,于是更换别的LK 按键指示灯,这次达到了预期的效果。接着画仿真电路图,设置End time=60.0μs ,按照实验要求设计出七人表决器的仿真波形,Simulator 一下,结果在意料之外。检查不出什么毛病,请教她人才明白:原来是忘了保存。最后结果如预料一般很完美,但按下的按键多于三个(即四个或四个以上)时,指示灯会亮,从而实现少数服从多数的结果。

四、实验结果与总结

表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED 表示,若表决的结果为同意,则LED 被点亮,;否则,如果表决的结果为反对,则LED 不会被点亮。同时用七段显 3

示数码管来显示赞成的人数和反对的人数。

由于第一次是接触MAX+plusⅡ,英文版的,导致刚开始操作时无从下手。虽然老师有在亲切和蔼地为同学们讲述操作流程和如何使用,但有时候还是没有跟上,问旁边的同学也不会。这就到了考验一个人学习能力的时候了,通过向他人请教和自己摸索,终于熟悉了一系列的操作步骤。当到了Floorplan Editor绑定元件引脚这一步时,竟然没有改显示的引脚界面图„„因为还要选择设备为——EP1K100QC208-3,和外围硬件箱芯片相匹配。第一阶段在不断地尝试与调试、不断地请教与重做中完成了,脑门子都已是大汗淋漓。第二阶段的画仿真电路图,有了前面一系列的探索与尝试,这一步很快就完成了。只是要将其缩小到μm 级而不是nm 级,只为了更符合要求,看起来也美观一些。虽然忘记了时间要有所延迟以便更贴近于实际生活,不过总的来说也算大功告成了。

只有实践才是检验真理的唯一标准,同理,只有通过亲自动手实验你才能真正学到点东西。你不去做,你永远不知道真相。人类的智慧也是无穷无尽的,在EDA 领域,不仅创造了FPGA 现场可编程逻辑门阵列这种技术,而且还开发了VHDL 超高速集成电路硬件描述语言这一门编程语言,使得人机自动化技术的发展愈发趋于完善。科学与技术的未来是无限的,需要每一位感兴趣的信息学子与IT 人士共同探索。这次实验,不仅是一次简单的一两个小时的实验,更是我们学习向前推进的一大步。

4

河 北 科 技 大 学

实 验 报 告

2013级 电信 专业 132 班 学号130701213 2016年5月23日 姓 名 田继辉 同组人 指导教师 于国庆 实验名称 实验一 七人表决器 成 绩 实验类型 设计型 批阅教师 一、实验目的

(1)掌握MUXPLUS II语言输入的设计过程。

(2)初步了解VHDL 语言。

(3)熟悉FPGA 项目设计的基本流程。

二、实验原理:

用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”; 输入变量为逻辑“0”时表示表决者“不赞同”;输出逻辑“1”时,表示表决“通过”; 输出逻辑“0”时,表示表决“不通过”;当表决器的七个输入变量中有4个及以上为“1”时,则表决器输出为“1”,否则为“0”。

表决器输入采用试验箱K1~K16,输出采用试验箱L15、L16指示;同意红灯亮,否则黄灯亮。

三、实验内容及步骤

1.打开MUXPLUS II VHDL编辑器,完成七人表决器的设计。包括VHDL 程序输入、编译、综合。

实验程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY vote7 IS

1 PORT ( men : IN std_logic_vector(6 downto 0);

);

pass,stop : buffer std_logic END vote7;

ARCHITECTURE behave OF vote7 IS

BEGIN

stop

PROCESS (men) BEGIN for i in 0 to 6 loop variable temp:std_logic_vector(2 downto 0); temp:="000";

if(men(i)='1') then

temp:=temp+1;

else

temp:=temp+0;

end if;

end loop;

pass

END PROCESS;

END behave;

2、建立仿真波形文件,使用 MAXPLUS II Simulator功能进行功能仿真。

仿真结果如下:

3、目标器件选择与管脚锁定并重新编译、综合、适配。

2

FPGA 型号:EP1K100QC208-3

引脚绑定:

4、下载并验证结果

将编译好的程序进行一系列的元件引脚绑定之后,Programmer –> Configuration 成功,测试按键发现有个键按下去了但是并未显示想要的结果,于是更换别的LK 按键指示灯,这次达到了预期的效果。接着画仿真电路图,设置End time=60.0μs ,按照实验要求设计出七人表决器的仿真波形,Simulator 一下,结果在意料之外。检查不出什么毛病,请教她人才明白:原来是忘了保存。最后结果如预料一般很完美,但按下的按键多于三个(即四个或四个以上)时,指示灯会亮,从而实现少数服从多数的结果。

四、实验结果与总结

表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED 表示,若表决的结果为同意,则LED 被点亮,;否则,如果表决的结果为反对,则LED 不会被点亮。同时用七段显 3

示数码管来显示赞成的人数和反对的人数。

由于第一次是接触MAX+plusⅡ,英文版的,导致刚开始操作时无从下手。虽然老师有在亲切和蔼地为同学们讲述操作流程和如何使用,但有时候还是没有跟上,问旁边的同学也不会。这就到了考验一个人学习能力的时候了,通过向他人请教和自己摸索,终于熟悉了一系列的操作步骤。当到了Floorplan Editor绑定元件引脚这一步时,竟然没有改显示的引脚界面图„„因为还要选择设备为——EP1K100QC208-3,和外围硬件箱芯片相匹配。第一阶段在不断地尝试与调试、不断地请教与重做中完成了,脑门子都已是大汗淋漓。第二阶段的画仿真电路图,有了前面一系列的探索与尝试,这一步很快就完成了。只是要将其缩小到μm 级而不是nm 级,只为了更符合要求,看起来也美观一些。虽然忘记了时间要有所延迟以便更贴近于实际生活,不过总的来说也算大功告成了。

只有实践才是检验真理的唯一标准,同理,只有通过亲自动手实验你才能真正学到点东西。你不去做,你永远不知道真相。人类的智慧也是无穷无尽的,在EDA 领域,不仅创造了FPGA 现场可编程逻辑门阵列这种技术,而且还开发了VHDL 超高速集成电路硬件描述语言这一门编程语言,使得人机自动化技术的发展愈发趋于完善。科学与技术的未来是无限的,需要每一位感兴趣的信息学子与IT 人士共同探索。这次实验,不仅是一次简单的一两个小时的实验,更是我们学习向前推进的一大步。

4


相关文章

  • 七人表决器课程设计报告
  • 课 程 设 计 课程名称 硬件描述语言与EDA 技术 题目名称 硬件描述语言与EDA 技术实践 学生学院 材料与能源 专业班级 11微电子学(1)班 学 号 学生姓名 指导教师 2014年 6月 27日 广东工业大学课程设计任务书 题目名称 ...查看


  • EDA实验七人表决器的操作步骤
  • 写出了综合设计的第一个实验的详细步骤,主要是介绍用QuartusII 软件设计由多个模块构成的数字系统的主要步骤,及注意事项.希望对前几个实验未能完全掌握的同学有所帮助. 3.3.2实验内容 基于QuartusII 软件及VHDL 语言实现 ...查看


  • 七人表决器设计
  • ※※※※※※※※※ ※※ 2011级EDA ※※※※ 课程设计 ※※※※※ ※ ※ ※※ EDA 课程设计报告书 课题名称 姓 名 学 号 院.系.部 专 业 指导教师 2013年12月3日 七人表决器设计 电气系 电子信息工程 七人表决器 ...查看


  • 数字电路实验(1)基础实验
  • 电子技术基础实验(二)2.1 集成门电路的逻辑功能与QII应用 哈尔滨工程大学信息与通信工程学院 电子技术基础教研室  课程介绍 实验室守则 实验考核 实验内容 2.1.1. 2014092100 课程介绍 简介 课程介绍 2009 ...查看


  • 7人表决控制电路
  • 摘 要 7人表决控制电路是一简单的输入信号检测与处理.产生输出控制信号的逻辑电路.本文详细介绍了依据功能要求进行控制电路方案设计的过程,并在此基础上将整体电路分为输入信号处理模块, 计时模块, 译码模块,比较模块,显示模块等主要功能模块.实 ...查看


  • 三人表决器
  • 实验名称:组合逻辑电路的设计及功能测试 实验项目:用TTL与非门设计一个三人表决器. 设备及器件:自制数字实验平台,直流稳压电源,万用表,74LS00,74LS10 (一)设计: 1.任务分析:设有A.B.C三人,同意用"1&qu ...查看


  • 湖南工业大学EDA实验报告
  • EDA技术及应用-Verilog HDL版 实 验 报 告 实验一 数字秒表的设计 一. 实验类型 综合性实验 二.实验仪器设备与工具软件 1.PC机(要求内存在256M以上): 2.EDA实验开发系统: 3.Quartus II软件 三. ...查看


  • EDA课程设计论文()
  • <EDA技术>课程结业论文 题目:交通灯控制器 姓 名: 班 级: 学 号: 指导教师: 日期:2012年4月30日 摘 要 EDA(Electronic Design Automation)-电子设计自动化,作为现代电子信息工 ...查看


  • EDA实验正弦波信号发生器设计
  • 实验八 正弦信号发生器的设计 一.实验目的 1.学习用VHDL设计波形发生器和扫频信号发生器. 2.掌握FPGA对D/A的接口和控制技术,学会LPM_ROM在波形发生器设计中的实用方法. 二.实验仪器 PC机 . EDA实验箱 一台 Qua ...查看


热门内容