1位全加器的电路和版图设计

集成电路设计基础

论文题目: 学 院: 专 业: 姓 名:

学 号:

CMOS 全加器设计

摘要:现代社会随着电路的集成度越来越高,功耗和信号延迟成为超大规模集成电路的关键 。加法运算是数字系统中最基本的运算,为了更好地利用加法器实现减法、乘法、除法等运算,需要对全加器进行功能仿真设计和分析。另外通过全加器可以对其它相关电路有所了解。因此只有深刻理解了全加器的性能才能进一步减小功耗和信号延迟[1]。本文用对一位全加器进行了全面的分析。并且通过使用Cadence 公司的工具IC 5141与Hspice 来实现全定制的整个设计流程。

关键词: 全加器 ; 全定制; Cadence

Abstract:

As the circuit’s integration is increasing in the modern society,Power consumption and signal delay is crucial to the design of high-performance very large scale integration circuits. Addition operation is the basic operation of the digital system, In order to achieve much better use of the adder subtraction, multiplication, division and other operations, The need for full adder functional simulation design and analysis is necessary .what’s more, we can understand the other related circuitry through the full adder , Therefore, only a deep understanding of the performance of the full adder can we reduce the power consumption and signal delay. The paper has a comprehensive analysis to the full adder. And through the use of Cadence tool IC 5141 and Hspice to achieve full custom throughout the design process. Key words: the full adder ; Full – Custom; Cadence

1: 引言

集成电路设计方法大致可分为定制(Custom )、半定制(Semi-custom )、可编程逻辑器件(PLD )等设计方法,如图1.1所示。定制设计方法又可分为全定制(Full-Custom )设计和基于包(Cell-Based )的设计方法二类。全定制(full custom)集成电路设计方法,是按规定的功能与性能要求,对电路的结构布局与布线进行最优化设计,实现最小面积,最佳布线布局、最优功耗速度积,以求获得尽可能最优的设计。全定制(full custom)集成电路设计方法通常用于高性能的设计场合:规模较小性能要求较高的中小规模专用集成电路;大批量高性能集成电路。

图1.1 ASIC设计方法分类

全定制的设计流程:1)电路图绘制2)前仿真3)绘制版图4)版图验证5)版图后仿真。摩尔定律芯片上的晶体管数量每18到24个月翻一番。半导体技术有效性每18个月将会增大一倍。[1]

IC 设计必须要有工艺库的支持,本文的设计例子五级反相器使用NCSU 的TSMC 0.18工艺库,启动命令是icfb&.库的逻辑组织元素如下:库(Library );单元(Cell )(库的设计单元):视图(View )(单元的一种视图形式)如本文中反相器单元(INV )的电路图(Schematic ),符号(Symbol ),版图(Layout )。本文以全加器为例使用Cadence 公司的工具IC 5141与HSPICE 来实现全定制的整个设计流程。

2:设计步骤

2.1 建库

打开Cadence 软件后,点击“File->New->Library”,出现“Create Library”窗口,输入库名,选取工艺TSMC0.18u ,点OK ,如图2.1。

图2.1 新建库设置

2.2、新建Schematic 视图

“Library Manager”窗口中的“Library ”栏里有刚才新建的库文件夹cmos-adder

。选中

它,并点击File->New-> Cellview,在“Create New File”小窗口里输入单元名adder ,点OK ,如图2.2。

图2.2 新建Schematic 视图

然后出现电路图绘制界面,开始绘制全加器的电路图: ① 在电路编辑窗口中添加元件。

添加器件pmos 管和nmos 管。将pmos 管的宽W 设为nmos 管的宽W 的2~3倍,因此这里将pmos 管的宽W 设为540nM 。 ② 放置电源vdd 和地gnd 。

放置了元件和电源后,如图2.3。

图2.3 添加了CMOS 管、电源vdd 和地gnd

③添加端口Pin

三个输入端A 、B 、Ci 和两个输出端S 、Co ,如图2.4所示。

图2.4 添加Pin 后

④连线

注意,不要将三条线交于一个焊接点上,这样会在check 时产生一个warming 的。最后,可点击

(Check and Save), 检查无误且保存,可退出该界面。电路图如图2.5。

图2.5 1bit加法器的电路图

2.3、新建Symbol 视图

Symbol 是对电路的抽象,对外仅有端口属性,如何新建Symbol 视图可参照本章前面的实验。 ①画一个矩形,

②添加端口,点击“Add->Pin”,并连线,

③添加标签(Label ),点击“Add->Label”。完成的Symbol 图如图2.6所示。

图2.6 完成的Symbol 图

2.4 前仿真

为了证实刚才的电路图能实现1位全加器的功能,可以做一个前仿真。然后在前仿真通过的前提下再画版图,这样画出来的版图的正确性会更高。 (1)新建一个测试平台

在“Library Manager”窗口中点击“File->New-> Cellview”,在弹出的“Create New File”小窗口里的Cell Name 栏中输入“adder-tb ”,在Tool 栏里选择“Composer-Schematic ”如图2.7所示。然后点OK !进入电路图编辑窗口。

图2.7 新建测试平台adder-tb

(2)调用之前adder 的symbol

在出现的电路图编辑窗口里调用与非门。点“Add->Instance”或在键盘上按快捷键“I ”,把adder 调用出来。如图2.8所示。

图2.8调用全加器

(3)在测试平台上放置测试端口

点“Add->Pin”或着按快捷键“P ”。添加输入测试端口A 、B 和Ci ,输出测试端口S 、Ci ,还有“VDD! ”和“GND! ”。注意这里VDD! 和GND! 的端口类型选input ,因为可以在后面添加激励时将它们分别输入为0和某一高电平的直流,以此来模拟出VDD! 和GND! 。

(4)然后设置仿真环境

点“Tools->Analog Environment”后,将出现仿真环境设置窗口,如图2.9所示。

图2.9 仿真设置窗口

① 添加激励

设置好各个激励后,点OK 即可。

② 设置后仿观察的时间

点“Analyses->Choose…”,出现一个小窗口,进去进行观察时间的设置,点OK 即可。

图2.10设置后仿观察时间

③选择观察波形的端口

点“Output …->To Be Plotted->Select On Schematic”,然后在电路图上点击要观察的端口:输入A 、B 、Ci 和输出S 、Co 。如图2.11.

图2.11设置完的环境变量窗口

④观察前仿波形图:

点击“Simulation->Run”或者点绿灯按钮,即可运行仿真,运行成功会自动弹出后仿波形图。

图2.12 前仿波形图

3.1 图设计工具:Virtuoso Layout Editor。

根据电路图画版图,先画pmos 部分的版图,再画nmos 管部分的版图,然后根据电路图中的连接情况如反相器、传输门,将这些pmos 和与之相对应的nmos 连接起来,添加vdd! 和gnd! 结点标签和输入/输出端口pin ,最后通过DRC 验证。如图

3.1

图3.1 DRC通过的版图

之后我们提取extract 后运行LVS ,点击“Verify -> extract ”, 提取视图后,在“Library Manager ”窗口view 栏里将会出现新生成的“extracted ”文件,之后点击“Verify -> LVS ”,点击Run! 可以看到下图。

图3.2 LVS 运行报表

将前面进行的前仿真平台打开,然后点击“绿灯”开始运行,出现后仿波形,后仿波形图如图3.3所示。

图3.3 后仿波形图

4:结束语

IC 5141与HSPICE 软件使IC 的设计更加方便、快捷。通过全加器电路图与版图的绘制与仿真,全加器的性能更容易被了解。另外绘制电路图过程中,为使信号的延时变小,一般PMOS 宽长比是NMOS 的2-3倍。绘制版图过程中每次添加完元件后都DRC 验证一次,可以减少出错的概率。

本论文只是对全加器的基本工作原理和性质做了分析。在本学期的集成电路课中,IC 设计是本门课的重点,通过这段时间的学习,我掌握了电路图绘制及前仿和版图绘制及后,学到了很多有用知识,受益匪浅。

参考文献

[1] W. Dally and J.Polton, Digital Systems Engineering, Cambridge University Press,1998.

[2] P. D. Fisher and R. Nesbitt, “The Test of Time: Clock-Cycle Estimation and Test Challenges for Future Microprocessors, ” IEEE Circuit and Devices Magazine, 14(2), pp. 37-44,1998.

[3] N. Hedenstierna and K. Jeppon , “CMOS Circuit Speed and Buffer Optimization,” IEEE Transactions on CAD, vol. CAD-6, no. 2, pp.270-281,March 1987.

[4]C. Mead and L. Conway, Introduction to VLSI Systems, Addison –Wesley, 1980.

[5] A. Sedra and K. Smith, MicroElectronic Circuit , Holt, Rinehart and Winston,1987.

[6] R. Swanson and J. Meindl, “Ion-Implanted Complementary CMOS transistors in Low-Voltage Circuits,” IEEE Journal of Solid-State Circuits, vol.SC-7, no. 2, pp.146-152,April 1972.

[7] D. Sylvester and K. Keutzer, “Getting to the Bottom of Deep Submicron,” Proceedings ICCAD Conference, pp. 203, San Jose, November 1998.

[8] H. Veedrick, “Short-Circuit Dissipation of Static CMOS Circuitry and its Impact on the Design of Buffer

Circuits, ” IEEE Journal of Solid-State Circuits, vol. SC-19, no. 4. pp .468-473,1984.

集成电路设计基础

论文题目: 学 院: 专 业: 姓 名:

学 号:

CMOS 全加器设计

摘要:现代社会随着电路的集成度越来越高,功耗和信号延迟成为超大规模集成电路的关键 。加法运算是数字系统中最基本的运算,为了更好地利用加法器实现减法、乘法、除法等运算,需要对全加器进行功能仿真设计和分析。另外通过全加器可以对其它相关电路有所了解。因此只有深刻理解了全加器的性能才能进一步减小功耗和信号延迟[1]。本文用对一位全加器进行了全面的分析。并且通过使用Cadence 公司的工具IC 5141与Hspice 来实现全定制的整个设计流程。

关键词: 全加器 ; 全定制; Cadence

Abstract:

As the circuit’s integration is increasing in the modern society,Power consumption and signal delay is crucial to the design of high-performance very large scale integration circuits. Addition operation is the basic operation of the digital system, In order to achieve much better use of the adder subtraction, multiplication, division and other operations, The need for full adder functional simulation design and analysis is necessary .what’s more, we can understand the other related circuitry through the full adder , Therefore, only a deep understanding of the performance of the full adder can we reduce the power consumption and signal delay. The paper has a comprehensive analysis to the full adder. And through the use of Cadence tool IC 5141 and Hspice to achieve full custom throughout the design process. Key words: the full adder ; Full – Custom; Cadence

1: 引言

集成电路设计方法大致可分为定制(Custom )、半定制(Semi-custom )、可编程逻辑器件(PLD )等设计方法,如图1.1所示。定制设计方法又可分为全定制(Full-Custom )设计和基于包(Cell-Based )的设计方法二类。全定制(full custom)集成电路设计方法,是按规定的功能与性能要求,对电路的结构布局与布线进行最优化设计,实现最小面积,最佳布线布局、最优功耗速度积,以求获得尽可能最优的设计。全定制(full custom)集成电路设计方法通常用于高性能的设计场合:规模较小性能要求较高的中小规模专用集成电路;大批量高性能集成电路。

图1.1 ASIC设计方法分类

全定制的设计流程:1)电路图绘制2)前仿真3)绘制版图4)版图验证5)版图后仿真。摩尔定律芯片上的晶体管数量每18到24个月翻一番。半导体技术有效性每18个月将会增大一倍。[1]

IC 设计必须要有工艺库的支持,本文的设计例子五级反相器使用NCSU 的TSMC 0.18工艺库,启动命令是icfb&.库的逻辑组织元素如下:库(Library );单元(Cell )(库的设计单元):视图(View )(单元的一种视图形式)如本文中反相器单元(INV )的电路图(Schematic ),符号(Symbol ),版图(Layout )。本文以全加器为例使用Cadence 公司的工具IC 5141与HSPICE 来实现全定制的整个设计流程。

2:设计步骤

2.1 建库

打开Cadence 软件后,点击“File->New->Library”,出现“Create Library”窗口,输入库名,选取工艺TSMC0.18u ,点OK ,如图2.1。

图2.1 新建库设置

2.2、新建Schematic 视图

“Library Manager”窗口中的“Library ”栏里有刚才新建的库文件夹cmos-adder

。选中

它,并点击File->New-> Cellview,在“Create New File”小窗口里输入单元名adder ,点OK ,如图2.2。

图2.2 新建Schematic 视图

然后出现电路图绘制界面,开始绘制全加器的电路图: ① 在电路编辑窗口中添加元件。

添加器件pmos 管和nmos 管。将pmos 管的宽W 设为nmos 管的宽W 的2~3倍,因此这里将pmos 管的宽W 设为540nM 。 ② 放置电源vdd 和地gnd 。

放置了元件和电源后,如图2.3。

图2.3 添加了CMOS 管、电源vdd 和地gnd

③添加端口Pin

三个输入端A 、B 、Ci 和两个输出端S 、Co ,如图2.4所示。

图2.4 添加Pin 后

④连线

注意,不要将三条线交于一个焊接点上,这样会在check 时产生一个warming 的。最后,可点击

(Check and Save), 检查无误且保存,可退出该界面。电路图如图2.5。

图2.5 1bit加法器的电路图

2.3、新建Symbol 视图

Symbol 是对电路的抽象,对外仅有端口属性,如何新建Symbol 视图可参照本章前面的实验。 ①画一个矩形,

②添加端口,点击“Add->Pin”,并连线,

③添加标签(Label ),点击“Add->Label”。完成的Symbol 图如图2.6所示。

图2.6 完成的Symbol 图

2.4 前仿真

为了证实刚才的电路图能实现1位全加器的功能,可以做一个前仿真。然后在前仿真通过的前提下再画版图,这样画出来的版图的正确性会更高。 (1)新建一个测试平台

在“Library Manager”窗口中点击“File->New-> Cellview”,在弹出的“Create New File”小窗口里的Cell Name 栏中输入“adder-tb ”,在Tool 栏里选择“Composer-Schematic ”如图2.7所示。然后点OK !进入电路图编辑窗口。

图2.7 新建测试平台adder-tb

(2)调用之前adder 的symbol

在出现的电路图编辑窗口里调用与非门。点“Add->Instance”或在键盘上按快捷键“I ”,把adder 调用出来。如图2.8所示。

图2.8调用全加器

(3)在测试平台上放置测试端口

点“Add->Pin”或着按快捷键“P ”。添加输入测试端口A 、B 和Ci ,输出测试端口S 、Ci ,还有“VDD! ”和“GND! ”。注意这里VDD! 和GND! 的端口类型选input ,因为可以在后面添加激励时将它们分别输入为0和某一高电平的直流,以此来模拟出VDD! 和GND! 。

(4)然后设置仿真环境

点“Tools->Analog Environment”后,将出现仿真环境设置窗口,如图2.9所示。

图2.9 仿真设置窗口

① 添加激励

设置好各个激励后,点OK 即可。

② 设置后仿观察的时间

点“Analyses->Choose…”,出现一个小窗口,进去进行观察时间的设置,点OK 即可。

图2.10设置后仿观察时间

③选择观察波形的端口

点“Output …->To Be Plotted->Select On Schematic”,然后在电路图上点击要观察的端口:输入A 、B 、Ci 和输出S 、Co 。如图2.11.

图2.11设置完的环境变量窗口

④观察前仿波形图:

点击“Simulation->Run”或者点绿灯按钮,即可运行仿真,运行成功会自动弹出后仿波形图。

图2.12 前仿波形图

3.1 图设计工具:Virtuoso Layout Editor。

根据电路图画版图,先画pmos 部分的版图,再画nmos 管部分的版图,然后根据电路图中的连接情况如反相器、传输门,将这些pmos 和与之相对应的nmos 连接起来,添加vdd! 和gnd! 结点标签和输入/输出端口pin ,最后通过DRC 验证。如图

3.1

图3.1 DRC通过的版图

之后我们提取extract 后运行LVS ,点击“Verify -> extract ”, 提取视图后,在“Library Manager ”窗口view 栏里将会出现新生成的“extracted ”文件,之后点击“Verify -> LVS ”,点击Run! 可以看到下图。

图3.2 LVS 运行报表

将前面进行的前仿真平台打开,然后点击“绿灯”开始运行,出现后仿波形,后仿波形图如图3.3所示。

图3.3 后仿波形图

4:结束语

IC 5141与HSPICE 软件使IC 的设计更加方便、快捷。通过全加器电路图与版图的绘制与仿真,全加器的性能更容易被了解。另外绘制电路图过程中,为使信号的延时变小,一般PMOS 宽长比是NMOS 的2-3倍。绘制版图过程中每次添加完元件后都DRC 验证一次,可以减少出错的概率。

本论文只是对全加器的基本工作原理和性质做了分析。在本学期的集成电路课中,IC 设计是本门课的重点,通过这段时间的学习,我掌握了电路图绘制及前仿和版图绘制及后,学到了很多有用知识,受益匪浅。

参考文献

[1] W. Dally and J.Polton, Digital Systems Engineering, Cambridge University Press,1998.

[2] P. D. Fisher and R. Nesbitt, “The Test of Time: Clock-Cycle Estimation and Test Challenges for Future Microprocessors, ” IEEE Circuit and Devices Magazine, 14(2), pp. 37-44,1998.

[3] N. Hedenstierna and K. Jeppon , “CMOS Circuit Speed and Buffer Optimization,” IEEE Transactions on CAD, vol. CAD-6, no. 2, pp.270-281,March 1987.

[4]C. Mead and L. Conway, Introduction to VLSI Systems, Addison –Wesley, 1980.

[5] A. Sedra and K. Smith, MicroElectronic Circuit , Holt, Rinehart and Winston,1987.

[6] R. Swanson and J. Meindl, “Ion-Implanted Complementary CMOS transistors in Low-Voltage Circuits,” IEEE Journal of Solid-State Circuits, vol.SC-7, no. 2, pp.146-152,April 1972.

[7] D. Sylvester and K. Keutzer, “Getting to the Bottom of Deep Submicron,” Proceedings ICCAD Conference, pp. 203, San Jose, November 1998.

[8] H. Veedrick, “Short-Circuit Dissipation of Static CMOS Circuitry and its Impact on the Design of Buffer

Circuits, ” IEEE Journal of Solid-State Circuits, vol. SC-19, no. 4. pp .468-473,1984.


相关文章

  • 相位累加器原理
  • 相位累加器 一个正弦波,虽然它的幅度不是线性的,但是它的相位却是线性增加的. DDS 正是利用了这一特点来产生正弦信号.如图 2,根据DDS 的频率控制字的位数N ,把360° 平均分成了2的N 次等份. 图2,相位累加器原理 假设系统时钟 ...查看


  • 加法器实验报告
  • 实验三 加法器的设计与仿真 一.实验目的 熟悉quartus ⅱ仿真软件的基本操作,用逻辑图和vhdl 语言设计加法器并验证. 二.实验内容 1.熟悉quartus ⅱ软件的基本操作,了解各种设计输入方法(原理图设计.文本设计. 波形设计) ...查看


  • 14年综合技能训练安排及要求
  • 综合技能训练实验(实习)计划书 一.实验(实习)目的.主要任务及要求 本实验环节主要目的在于培养电子科学与技术专业的学生掌握集成电路设计的综合技能.通过对集成电路设计工具的使用和完成相关集成电路的设计流程,从而达到培养学生专业综合技能训练的 ...查看


  • 组合逻辑电路的设计及半加器.全加器
  • 实验四 组合逻辑电路的设计及半加器.全加器 一.实验目的 1. 掌握组合逻辑电路的设计与测试方法 2.掌握半加器.全加器的工作原理. 二.实验原理和电路 1.组合逻辑电路的设计 使用中.小规模集成电路来设计组合电路是最常见的逻辑电路.设计 ...查看


  • 组合逻辑电路设计之全加器.半加器
  • 班级 姓名 学号 实验二 组合电路设计 一.实验目的 (1) 验证组合逻辑电路的功能 (2) 掌握组合逻辑电路的分析方法 (3) 掌握用SSI小规模集成器件设计组合逻辑电路的方法 (4) 了解组合逻辑电路集中竞争冒险的分析和消除方法 二.实 ...查看


  • 数字电路试题_苦中作乐
  • 1.同步电路和异步电路的区别是什么?(仕兰微电子) 2.什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系.异步逻辑是各时钟之间没有固定的因果关系. 3.什么是"线与"逻辑,要实现它,在硬件特性上 ...查看


  • 全加器设计
  • 学院:计算机学院 专业:信息与计算科学 姓名:方荣华 学号:0908060223 班级:0902 全加器 一位全加器 全加器是能够计算低位进位的二进制加法电路 一位全加器(FA)的逻辑表达式为: S=A⊕B⊕Cin Co=AB+BCin+A ...查看


  • 一位全加器HSPICE设计
  • 设计一·四路与非电路的Hspice 设计. 设计二·一位全加器电路的Hspice 设计. 专 业 电子科学与技术 学 号 学生姓名 指导老师 汪再兴 设计一·四路与非门的设计 一·设计目的: 1.学习使用电路设计与仿真软件HSPICE ,练 ...查看


  • 数字电路实验(1)基础实验
  • 电子技术基础实验(二)2.1 集成门电路的逻辑功能与QII应用 哈尔滨工程大学信息与通信工程学院 电子技术基础教研室  课程介绍 实验室守则 实验考核 实验内容 2.1.1. 2014092100 课程介绍 简介 课程介绍 2009 ...查看


热门内容