路灯控制器

沈阳工程学院课程设计

中文摘要

路灯在日常生活中很常见,它的出现使我们的生活变得很方便,路灯有很多种,它的控制方法也有很多种。比如说:用开关控制的,用声音控制的等; 此次课程设计就以光敏二极管来设计路灯控制器。通过对模拟电子技术和数字电子技术的学习,结合在课堂中学到的知识。用光敏二极管在有光阻值变大,无光时阻值变小的原理,以及用LM555定时器组成的施密特触发器,来设计电路。并通过运用74160N、7448N及数码管来显示出路灯开启的次数和开启的时间,用集成运放设计出方波产生电路为开启时间显示电路提供脉冲。

关键词:光敏二极管 74160N 7448N 数码管 LM555定时器

路灯控制器

目录

中文摘要 ........................................................................................................................................... 1 目录................................................................................................................................................... 2 1 设计任务描述 ............................................................................................................................... 3

1.1 设计题目:路灯控制器 .................................................................................................... 3 1.2 设计要求 ............................................................................................................................ 3

1.2.1 设计目的 ................................................................................................................. 3 1.2.2 基本要求 ................................................................................................................. 3 1.2.3 发挥部分 ................................................................................................................. 3

2设计思路 ........................................................................................................................................ 4 3 设计框图 ....................................................................................................................................... 5 4 各部分电路的设计以及参数计算 ............................................................................................... 6

4.1 路灯的开启过程 ................................................................................................................ 6 4.2 路灯次数的开启显示 ........................................................................................................ 6 4.3 路灯开启时间的显示 ........................................................................................................ 8 4.4 脉冲产生电路 .................................................................................................................... 9 4.5 开启时间清零 .................................................................................................................. 11 5 主要元器件介绍 ......................................................................................................................... 11

5.1 LM555 ............................................................................................................................... 11 5.2 74160N .............................................................................................................................. 13 5.3 7448N ................................................................................................................................ 14 5.4 数码管 .............................................................................................................................. 16 6 元件清单 ..................................................................................................................................... 18 小结................................................................................................................................................. 19 致谢................................................................................................................................................. 20 参考文献 ......................................................................................................................................... 21 附录................................................................................................................................................. 23

沈阳工程学院课程设计

1 设计任务描述

1.1 设计题目:路灯控制器

1.2 设计要求

1.2.1 设计目的

(1)掌握路灯控制器的构成、原理与设计方法; (2)熟悉集成电路的使用方法。

1.2.2 基本要求

(1)当日光亮到一定程度时使灯自动熄灭,而暗到一定程度时又能自动点亮; (2)设计计数显示电路,用LED显示路灯前一次的连续开启时间。

1.2.3 发挥部分

(1) 统计路灯的开启次数; (2) 其他。

路灯控制器

2设计思路

路灯控制器总体来说就是在白天有光时路灯灭,晚上没有光时路灯亮。在本设计的路灯控制包括五部分:路灯的开启电路的设计,路灯开启次数显示部分的设计,路灯开启时间显示的设计,开启时间脉冲的产生电路以及显示时间清零功能的设计;

路灯开启电路用开关和LM555组成的施密特触发器来设计的,开关来模拟光敏二极管,LM555组成的施密特触发器是在有光或无光的时候控制路灯的亮灭的。

路灯开启次数用计数器74160,译码器7448以及数码管组成,在路灯灭与亮的过程中产生脉冲,让其为计数器74160提供脉冲,每亮灭一次计数器74160计数一次再通过译码器7448显示在数码管上。这样就达到了开启次数的显示。 路灯开启时间的显示也用计数器LM74160,译码器7448以及数码管组成,也是通过脉冲来使其计数,但产生脉冲不是路灯亮灭产生的脉冲,而是由集成运放来产生。

开启时间的脉冲电路的设计是用集成运放组成的双向限幅的方波产生电路。此双向限幅的方波产生电路是在迟滞比较器的基础上,增加了电阻R12和电容C2组成的积分电路。

显示时间清零功能是基于计数器有复位功能而实现的。 最后,将各个模块连在一起就构成了路灯控制的设计。

沈阳工程学院课程设计

3 设计框图

路灯控制器

4 各部分电路的设计以及参数计算

4.1 路灯的开启过程

此次设计的路灯是有光的强度来控制的,当光照到光敏二极管的时候,二极管的阻值发生变化,有光使二极管的阻值变小,无光使二极管的阻值变大,从而来控制电路的通断,路灯的亮灭。

在本电路中,由于仿真软件的缺陷,无法模拟二极管。所以,在此我用开关来代替光敏二极管,用LM555组成的施密特触发器来控制整个路灯开启的过程。施密特触发器的特性及参数在元器件介绍所示。图2.1.1是我用开关及LM555设计的路灯开启工程的电路,当白天有光照的时候,光明二极管的阻值变小,二极管上有电流通过,所以,此时让开关打到高电平上。当晚上没有光照的时候,光敏二极管的阻值变大,二极管截止,没有电流通过,此时让开关打到低电平上,如图2.1.2所示。这样就模拟出了白天路灯灭,晚上路灯亮的场景。

4.2 路灯次数的开启显示

此部分用三个计数器74160来设计,计数器74160是一个十进制的计数器,因此计数可达到最大999次。

沈阳工程学院课程设计

图4.2.1次数显示电路

(1)

首先,由计数器74160的功能表可知,使能端ENP,ENT,清零端,预置端都必须接高电平,计数器才能计数工作。在有脉冲的时候计数器开始计数,由于是十进制计数器,当计数到九时计数器进位,这时循环进位端进位,所以,让其跟十位的时钟输入端相连,这样就进位了,依次让十位的循环进位跟百位的时钟端相连,最后,就能达到999次的计数。

译码器7448是驱动显示器的核心部件,它可以将输入代码转换成相应的数字显示代码,并在数码管上显示出来。输入A、B 、 C和 D接收四位二进制码,输出OA~OG为高电平有效,可直接驱动共阴极显示器,三个辅助控制端

(3)

为高电平的时候译码管开始工作。

(2)

共阴极数码管顾名思义就是二极管的阴极接地,在二极管的阳极由译码器提供高电平,使其发亮。从而显示出数字、时间等。

路灯控制器

图4.2.2 共阴极数码管的内部结构

图4.2.3 数码管

4.3 路灯开启时间的显示

时间显示部分也用计数器74160、译码器7448和数码管组成,跟上面的一样,在这就不重复了。但这里还有不一样的,就是时间的显示到六时就得进位。所以,这里我用到与非门来使其到六时进位。由数字电子技术可知计数器74160十进制的状态图如图4.3.1所示,因此,在计数到0101时,让与非门与两个高电平接在一起,返回到异步清零端,让计数器在五十九的时候跳到零,不再显示六十,然后再从零循环计数。

在这还有一个要注意的就是,计数器到五十九时不往上计数了,那么它的循环进位就不起作用,为了让其起到进位作用,将与非门中出来的低电平通过一个非门提供给需要进位的计数器的时钟端,让其进位。这里一共用了六个计数器,所以最高可以计时到99小时。具体如图4.3.2所示:

沈阳工程学院课程设计

/0

0000

0001

/0

0010

/0

0011

/0

0100

/0

/0

1001

1000

/0

0111

/0

0110

/0

0101

/1

图 4.3.1 十进制计时状态

图4.3.2 开启时间显示电路

4.4 脉冲产生电路

此方波产生电路是由集成运放、两个稳压二极管和电阻电容组成。如图4.4.1所示:

图4.4. 1 方波产生电路

路灯控制器

(1) 由于是双向限幅的方波产生电路,在接通电源的瞬间,输出电压究竟是正

向饱和还是反向饱和,那纯属偶然。设输出电压偏于正向饱和值,即V0=+Vz,加到电压比较器同相端的电压为+FVz,而加于反向端的电压,由于电容器C2上的电压Vc不能突变,只能由输出电压V0通过电阻R12按指数规律向C充电来建立。当加到反向端的电压Vc略正与+FVz时,输出电压便立即从饱和值(+Vz)迅速翻转到负饱和值(-Vz),-Vz又通过R13对C2进行反向充电。直到Vc略负于-FVz值时,输出状态再翻转回来,如此循环不已,形成一系列的方波输出。

图4.4.2输出电压与电容器电压波形图

(2) 电路的正反馈系数FR11(R11R13)

在t=0时,VcFVz,则T/2的时间内,电容C上的电压Vc将以指数规律由-FVz向+Vz方向变化,电容器端电压随时间变化规律为

Vc(t)Vz[1(1F)e

tR12C2

]

设T为方波的周期,当t=T/2时,Vc(T/2)= FVz,代入上式,得

T

T

R12T求解,得Vc()Vz[1(1F)eC2]FVz 对

2

R11

T2R10R12C2ln(12)

R13

最后得出:R11=1K,R13=4K,R10=1K,C=1uf

沈阳工程学院课程设计

图4.4.3 实际输出波形与输入波形

4.5 开启时间清零

这里根据计数器74160清零端来设计,当清零端接高电平时开始计数,低电平时计数器清零。具体功能详情在元件介绍里。

5 主要元器件介绍

5.1 LM555

(1)555内部结构图

图5.1.1 555结构图

(2)功能表

路灯控制器

555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。

555定时器的电路上图所示。它由三个阻值为5kΩ的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电晶体管T、与非门和反相器组成。

分压器为两个电压比较器C1、C2提供参考电压。如5端悬空,则比较器C1的参考电压加在同相端;C2的参考电压加在反相端。

(4)各引脚功能

V1脚:外接电源负端SS或接地,一般情况下接地。

2脚:TL低触发端 3脚:输出端V0

4脚:RD是直接清零端。当RD端接低电平,则时基电路不工作,此时不论TL、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只1.0nF电容接地,以防引入干扰。

6脚:TH高触发端

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路

VCC的范围为3--18V。一般用5V。

沈阳工程学院课程设计

5.2 74160N

(1)引脚图

图5.2.1 74160N引脚图

(二)功能表

74160N是常用的十进制计数器,异步清零端LOAD,当它为低电平时,无论其它输入端是何状态(包括时钟信号CLK),都使片内所有的触发器状态置零,只有在LOAD接入高电平是才起作用。

QQ

进位信号RCO,只有当CET=1且D--A =1111时,RCO才为1;当清零信号为0时,各触发器置0,当清零信号为1时,若预置为0,在下一个时钟脉冲上升沿到来后,各触发器的输出状态与预置的输入数据相同。

在清零和预置都为1的条件下,若使能端为1,则电路处于计数状态。直到使能端为0时,技术状态结束。。此后处于禁止计数的保持状态。进位信号只有QQQQ

在ABCD =1001且CET=1时输出为1,其余时间均为0。

5.3 7448N

路灯控制器

数字显示译码器是驱动显示器的核心部件,它可以将输入代码转换成相应的数字显示代码,并在数码管上显示出来。图5.3.1所示为七段显示译码器7448的引脚图,输入A3 、A2 、 A1和 A0接收四位二进制码,输出a~g为高电平

有效,可直接驱动共阴极显示器,三个辅助控制端

,以增

强器件的功能,扩大器件应用。7448的真值表如表5.3.1所示。

从功能表可以看出,对输入代码0000,译码条件是:灯测试输入 和动态灭零输入 同时等于1,而对其他输入代码则仅要求 =1,这时候,译码器各段a~g输出的电平是由输入代码决定的,并且满足显示字形的要求。

图5.3.1 7448引脚图

沈阳工程学院课程设计

表5.3.1 7448功能表

灯测试输入

低电平有效。当

= 0时,无论其他输入端是什么状态,所

有输出a~g均为1,显示字形8。该输入端常用于检查7448本身及显示器的好坏。

动态灭零输入

低电平有效。当

=1,

,且输入代码

时,输出a~g均为低电平,即与0000码相应的字形0不显示,故称“灭零”。利用

=1与

= 0,可以实现某一位数码的“消隐”。

是特殊控制端,既可作输入,又可作输出。= 0时,无论其他输入端是什么电平,所有

作为输出使用时,受

时,

控制,

灭灯输入/动态灭零输出

作输入使用,且

输出a~g均为0,字形熄灭。

只有当

,且输入代码

,其他情况下

。该端主要用于显示多位数字时多个译码器之间的连接。

路灯控制器

5.4 数码管

################## 元件详细报告 ##################

群组(ANSI) 群组(DIN)

系列(ANSI) 系列(DIN)

################## 元件 ##################

数据库名称: 主数据库 系列组: Indicators 系列: HEX_DISPLAY 名称: SEVEN_SEG_COM_K 作者: DMN 日期: August 15, 2002 功能: 7 SEGMENT DISPLAY, COMMON CATHODE 热敏电阻连接:: 0.00 热敏电阻状况:: 0.00 功耗: 0.00 降值拐点:: 0.00 最低工作温度: 0.00 最高工作温度: 0.00 静电放电:: 0.00

################## 符号 ##################

符号(ANSI) 符号(DIN)

沈阳工程学院课程设计

################## 模型 ##################

模型 ID: SEVEN_SEG_COM_K 模型制造商: Generic

################## 封装 ##################

封装:

Ultiboard数据库中封装未找到

封装类型: 封装制造商: Generic 引脚数: 8

引脚信息:

逻辑 物理的 单元 类型 ERC 状态 引脚交换组 门交换组 D GRP:A A:I/O 包括 E GRP:A A:I/O 包括 F GRP:A A:I/O 包括 G GRP:A A:I/O 包括 A GRP:A A:I/O 包括 B GRP:A A:I/O 包括 C GRP:A A:I/O 包括 COM GRP:A A:I/O 包括

路灯控制器

6 元件清单

沈阳工程学院课程设计

小结

开学第一周的数字电子技术课程设计已结束,在这一周我们设计的题目是路灯控制器,该路灯控制器的主要功能就是在白天有光时路灯灭,在晚上没有光时又自动亮.。路灯控制器中包过脉冲的产生,记录路灯开启次数的显示系统,路灯开启时间的显示系统,还有人为的路灯显示时间的清零功能等组成。这个电路的设计比较的贴近我们的日常生活,极大的方便了我们的生活。

经过这一周的课程设计我把学到的知识与结合实践,使我的理论知识更加牢固,并且我掌握了路灯控制器中脉冲的产生电路的设计,而且还掌握了计数器、译码显示器等数字元件的使用方法及原理。其中计数器用的比其他元件稍多一点,觉得理论和实际上用起来还是有区别的,是我对各种进制的连接和应用有了更深的理解。老师只是说了要求和注意要点之后,让我们画出电路图来,再开学的第二天我们就泡在图书馆,为了能画出一份满意的电路图,终于在第三天画出了一份电路图,以为这已经是完美的,可是拿给老师看的时候才发现有那么多的缺陷,在老师的帮助下,我一步一步的将那些缺陷找出来并改正。在此非常感谢老师的帮助。

在本次课程设计我不仅仅是设计出了一个路灯控制器,我还收获了很多比如

说:理论与实践结合的重要性,元器件参数以及原理的掌握等等。这让我认识到了自己的不足,让我明确了努力的方向。在以后的学习生涯中我会不断的完善自己,用更多知识来丰富和充实自己,为自己以后的学习道路打下坚实的基础!

路灯控制器

致谢

此次课程设计已接近尾声,但在此期间我们收获颇丰。首先,在此感谢老师的大力支持和耐心的指导。此次课程设计中我们遇到了好多困难,开始的时候我们都不知道如何入手,是老师的指导让我们对所设计的课题有了基本的了解,并且在不知道器件的用途以及参数的时候,给予我们更好的思路和建议,使我们不仅顺利的完成了课程设计要求的内容,而且还对书中的内容有了进一步的认识和理解,在此表示深深的感谢。

此时此刻也特别感谢学校图书馆的支持与鼓励,科技书刊借阅室和多媒体电子阅览室为我们提供了本设计要求所需资料。

沈阳工程学院课程设计

参考文献

[1] 中国IC网. www.21IC.com

[2] www.cediy.com 中国电子设计网

[3] http://www.lib.sie.edu.cn/ 沈阳工程学院图书馆

[4] 康华光.电子技术基础 数字部分(第五版).北京:高等教育出版社

[5] http://group.ednchina.com/2762/ EDN电子技术设计

路灯控制器

沈阳工程学院课程设计

附录

沈阳工程学院课程设计

中文摘要

路灯在日常生活中很常见,它的出现使我们的生活变得很方便,路灯有很多种,它的控制方法也有很多种。比如说:用开关控制的,用声音控制的等; 此次课程设计就以光敏二极管来设计路灯控制器。通过对模拟电子技术和数字电子技术的学习,结合在课堂中学到的知识。用光敏二极管在有光阻值变大,无光时阻值变小的原理,以及用LM555定时器组成的施密特触发器,来设计电路。并通过运用74160N、7448N及数码管来显示出路灯开启的次数和开启的时间,用集成运放设计出方波产生电路为开启时间显示电路提供脉冲。

关键词:光敏二极管 74160N 7448N 数码管 LM555定时器

路灯控制器

目录

中文摘要 ........................................................................................................................................... 1 目录................................................................................................................................................... 2 1 设计任务描述 ............................................................................................................................... 3

1.1 设计题目:路灯控制器 .................................................................................................... 3 1.2 设计要求 ............................................................................................................................ 3

1.2.1 设计目的 ................................................................................................................. 3 1.2.2 基本要求 ................................................................................................................. 3 1.2.3 发挥部分 ................................................................................................................. 3

2设计思路 ........................................................................................................................................ 4 3 设计框图 ....................................................................................................................................... 5 4 各部分电路的设计以及参数计算 ............................................................................................... 6

4.1 路灯的开启过程 ................................................................................................................ 6 4.2 路灯次数的开启显示 ........................................................................................................ 6 4.3 路灯开启时间的显示 ........................................................................................................ 8 4.4 脉冲产生电路 .................................................................................................................... 9 4.5 开启时间清零 .................................................................................................................. 11 5 主要元器件介绍 ......................................................................................................................... 11

5.1 LM555 ............................................................................................................................... 11 5.2 74160N .............................................................................................................................. 13 5.3 7448N ................................................................................................................................ 14 5.4 数码管 .............................................................................................................................. 16 6 元件清单 ..................................................................................................................................... 18 小结................................................................................................................................................. 19 致谢................................................................................................................................................. 20 参考文献 ......................................................................................................................................... 21 附录................................................................................................................................................. 23

沈阳工程学院课程设计

1 设计任务描述

1.1 设计题目:路灯控制器

1.2 设计要求

1.2.1 设计目的

(1)掌握路灯控制器的构成、原理与设计方法; (2)熟悉集成电路的使用方法。

1.2.2 基本要求

(1)当日光亮到一定程度时使灯自动熄灭,而暗到一定程度时又能自动点亮; (2)设计计数显示电路,用LED显示路灯前一次的连续开启时间。

1.2.3 发挥部分

(1) 统计路灯的开启次数; (2) 其他。

路灯控制器

2设计思路

路灯控制器总体来说就是在白天有光时路灯灭,晚上没有光时路灯亮。在本设计的路灯控制包括五部分:路灯的开启电路的设计,路灯开启次数显示部分的设计,路灯开启时间显示的设计,开启时间脉冲的产生电路以及显示时间清零功能的设计;

路灯开启电路用开关和LM555组成的施密特触发器来设计的,开关来模拟光敏二极管,LM555组成的施密特触发器是在有光或无光的时候控制路灯的亮灭的。

路灯开启次数用计数器74160,译码器7448以及数码管组成,在路灯灭与亮的过程中产生脉冲,让其为计数器74160提供脉冲,每亮灭一次计数器74160计数一次再通过译码器7448显示在数码管上。这样就达到了开启次数的显示。 路灯开启时间的显示也用计数器LM74160,译码器7448以及数码管组成,也是通过脉冲来使其计数,但产生脉冲不是路灯亮灭产生的脉冲,而是由集成运放来产生。

开启时间的脉冲电路的设计是用集成运放组成的双向限幅的方波产生电路。此双向限幅的方波产生电路是在迟滞比较器的基础上,增加了电阻R12和电容C2组成的积分电路。

显示时间清零功能是基于计数器有复位功能而实现的。 最后,将各个模块连在一起就构成了路灯控制的设计。

沈阳工程学院课程设计

3 设计框图

路灯控制器

4 各部分电路的设计以及参数计算

4.1 路灯的开启过程

此次设计的路灯是有光的强度来控制的,当光照到光敏二极管的时候,二极管的阻值发生变化,有光使二极管的阻值变小,无光使二极管的阻值变大,从而来控制电路的通断,路灯的亮灭。

在本电路中,由于仿真软件的缺陷,无法模拟二极管。所以,在此我用开关来代替光敏二极管,用LM555组成的施密特触发器来控制整个路灯开启的过程。施密特触发器的特性及参数在元器件介绍所示。图2.1.1是我用开关及LM555设计的路灯开启工程的电路,当白天有光照的时候,光明二极管的阻值变小,二极管上有电流通过,所以,此时让开关打到高电平上。当晚上没有光照的时候,光敏二极管的阻值变大,二极管截止,没有电流通过,此时让开关打到低电平上,如图2.1.2所示。这样就模拟出了白天路灯灭,晚上路灯亮的场景。

4.2 路灯次数的开启显示

此部分用三个计数器74160来设计,计数器74160是一个十进制的计数器,因此计数可达到最大999次。

沈阳工程学院课程设计

图4.2.1次数显示电路

(1)

首先,由计数器74160的功能表可知,使能端ENP,ENT,清零端,预置端都必须接高电平,计数器才能计数工作。在有脉冲的时候计数器开始计数,由于是十进制计数器,当计数到九时计数器进位,这时循环进位端进位,所以,让其跟十位的时钟输入端相连,这样就进位了,依次让十位的循环进位跟百位的时钟端相连,最后,就能达到999次的计数。

译码器7448是驱动显示器的核心部件,它可以将输入代码转换成相应的数字显示代码,并在数码管上显示出来。输入A、B 、 C和 D接收四位二进制码,输出OA~OG为高电平有效,可直接驱动共阴极显示器,三个辅助控制端

(3)

为高电平的时候译码管开始工作。

(2)

共阴极数码管顾名思义就是二极管的阴极接地,在二极管的阳极由译码器提供高电平,使其发亮。从而显示出数字、时间等。

路灯控制器

图4.2.2 共阴极数码管的内部结构

图4.2.3 数码管

4.3 路灯开启时间的显示

时间显示部分也用计数器74160、译码器7448和数码管组成,跟上面的一样,在这就不重复了。但这里还有不一样的,就是时间的显示到六时就得进位。所以,这里我用到与非门来使其到六时进位。由数字电子技术可知计数器74160十进制的状态图如图4.3.1所示,因此,在计数到0101时,让与非门与两个高电平接在一起,返回到异步清零端,让计数器在五十九的时候跳到零,不再显示六十,然后再从零循环计数。

在这还有一个要注意的就是,计数器到五十九时不往上计数了,那么它的循环进位就不起作用,为了让其起到进位作用,将与非门中出来的低电平通过一个非门提供给需要进位的计数器的时钟端,让其进位。这里一共用了六个计数器,所以最高可以计时到99小时。具体如图4.3.2所示:

沈阳工程学院课程设计

/0

0000

0001

/0

0010

/0

0011

/0

0100

/0

/0

1001

1000

/0

0111

/0

0110

/0

0101

/1

图 4.3.1 十进制计时状态

图4.3.2 开启时间显示电路

4.4 脉冲产生电路

此方波产生电路是由集成运放、两个稳压二极管和电阻电容组成。如图4.4.1所示:

图4.4. 1 方波产生电路

路灯控制器

(1) 由于是双向限幅的方波产生电路,在接通电源的瞬间,输出电压究竟是正

向饱和还是反向饱和,那纯属偶然。设输出电压偏于正向饱和值,即V0=+Vz,加到电压比较器同相端的电压为+FVz,而加于反向端的电压,由于电容器C2上的电压Vc不能突变,只能由输出电压V0通过电阻R12按指数规律向C充电来建立。当加到反向端的电压Vc略正与+FVz时,输出电压便立即从饱和值(+Vz)迅速翻转到负饱和值(-Vz),-Vz又通过R13对C2进行反向充电。直到Vc略负于-FVz值时,输出状态再翻转回来,如此循环不已,形成一系列的方波输出。

图4.4.2输出电压与电容器电压波形图

(2) 电路的正反馈系数FR11(R11R13)

在t=0时,VcFVz,则T/2的时间内,电容C上的电压Vc将以指数规律由-FVz向+Vz方向变化,电容器端电压随时间变化规律为

Vc(t)Vz[1(1F)e

tR12C2

]

设T为方波的周期,当t=T/2时,Vc(T/2)= FVz,代入上式,得

T

T

R12T求解,得Vc()Vz[1(1F)eC2]FVz 对

2

R11

T2R10R12C2ln(12)

R13

最后得出:R11=1K,R13=4K,R10=1K,C=1uf

沈阳工程学院课程设计

图4.4.3 实际输出波形与输入波形

4.5 开启时间清零

这里根据计数器74160清零端来设计,当清零端接高电平时开始计数,低电平时计数器清零。具体功能详情在元件介绍里。

5 主要元器件介绍

5.1 LM555

(1)555内部结构图

图5.1.1 555结构图

(2)功能表

路灯控制器

555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。

555定时器的电路上图所示。它由三个阻值为5kΩ的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电晶体管T、与非门和反相器组成。

分压器为两个电压比较器C1、C2提供参考电压。如5端悬空,则比较器C1的参考电压加在同相端;C2的参考电压加在反相端。

(4)各引脚功能

V1脚:外接电源负端SS或接地,一般情况下接地。

2脚:TL低触发端 3脚:输出端V0

4脚:RD是直接清零端。当RD端接低电平,则时基电路不工作,此时不论TL、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只1.0nF电容接地,以防引入干扰。

6脚:TH高触发端

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路

VCC的范围为3--18V。一般用5V。

沈阳工程学院课程设计

5.2 74160N

(1)引脚图

图5.2.1 74160N引脚图

(二)功能表

74160N是常用的十进制计数器,异步清零端LOAD,当它为低电平时,无论其它输入端是何状态(包括时钟信号CLK),都使片内所有的触发器状态置零,只有在LOAD接入高电平是才起作用。

QQ

进位信号RCO,只有当CET=1且D--A =1111时,RCO才为1;当清零信号为0时,各触发器置0,当清零信号为1时,若预置为0,在下一个时钟脉冲上升沿到来后,各触发器的输出状态与预置的输入数据相同。

在清零和预置都为1的条件下,若使能端为1,则电路处于计数状态。直到使能端为0时,技术状态结束。。此后处于禁止计数的保持状态。进位信号只有QQQQ

在ABCD =1001且CET=1时输出为1,其余时间均为0。

5.3 7448N

路灯控制器

数字显示译码器是驱动显示器的核心部件,它可以将输入代码转换成相应的数字显示代码,并在数码管上显示出来。图5.3.1所示为七段显示译码器7448的引脚图,输入A3 、A2 、 A1和 A0接收四位二进制码,输出a~g为高电平

有效,可直接驱动共阴极显示器,三个辅助控制端

,以增

强器件的功能,扩大器件应用。7448的真值表如表5.3.1所示。

从功能表可以看出,对输入代码0000,译码条件是:灯测试输入 和动态灭零输入 同时等于1,而对其他输入代码则仅要求 =1,这时候,译码器各段a~g输出的电平是由输入代码决定的,并且满足显示字形的要求。

图5.3.1 7448引脚图

沈阳工程学院课程设计

表5.3.1 7448功能表

灯测试输入

低电平有效。当

= 0时,无论其他输入端是什么状态,所

有输出a~g均为1,显示字形8。该输入端常用于检查7448本身及显示器的好坏。

动态灭零输入

低电平有效。当

=1,

,且输入代码

时,输出a~g均为低电平,即与0000码相应的字形0不显示,故称“灭零”。利用

=1与

= 0,可以实现某一位数码的“消隐”。

是特殊控制端,既可作输入,又可作输出。= 0时,无论其他输入端是什么电平,所有

作为输出使用时,受

时,

控制,

灭灯输入/动态灭零输出

作输入使用,且

输出a~g均为0,字形熄灭。

只有当

,且输入代码

,其他情况下

。该端主要用于显示多位数字时多个译码器之间的连接。

路灯控制器

5.4 数码管

################## 元件详细报告 ##################

群组(ANSI) 群组(DIN)

系列(ANSI) 系列(DIN)

################## 元件 ##################

数据库名称: 主数据库 系列组: Indicators 系列: HEX_DISPLAY 名称: SEVEN_SEG_COM_K 作者: DMN 日期: August 15, 2002 功能: 7 SEGMENT DISPLAY, COMMON CATHODE 热敏电阻连接:: 0.00 热敏电阻状况:: 0.00 功耗: 0.00 降值拐点:: 0.00 最低工作温度: 0.00 最高工作温度: 0.00 静电放电:: 0.00

################## 符号 ##################

符号(ANSI) 符号(DIN)

沈阳工程学院课程设计

################## 模型 ##################

模型 ID: SEVEN_SEG_COM_K 模型制造商: Generic

################## 封装 ##################

封装:

Ultiboard数据库中封装未找到

封装类型: 封装制造商: Generic 引脚数: 8

引脚信息:

逻辑 物理的 单元 类型 ERC 状态 引脚交换组 门交换组 D GRP:A A:I/O 包括 E GRP:A A:I/O 包括 F GRP:A A:I/O 包括 G GRP:A A:I/O 包括 A GRP:A A:I/O 包括 B GRP:A A:I/O 包括 C GRP:A A:I/O 包括 COM GRP:A A:I/O 包括

路灯控制器

6 元件清单

沈阳工程学院课程设计

小结

开学第一周的数字电子技术课程设计已结束,在这一周我们设计的题目是路灯控制器,该路灯控制器的主要功能就是在白天有光时路灯灭,在晚上没有光时又自动亮.。路灯控制器中包过脉冲的产生,记录路灯开启次数的显示系统,路灯开启时间的显示系统,还有人为的路灯显示时间的清零功能等组成。这个电路的设计比较的贴近我们的日常生活,极大的方便了我们的生活。

经过这一周的课程设计我把学到的知识与结合实践,使我的理论知识更加牢固,并且我掌握了路灯控制器中脉冲的产生电路的设计,而且还掌握了计数器、译码显示器等数字元件的使用方法及原理。其中计数器用的比其他元件稍多一点,觉得理论和实际上用起来还是有区别的,是我对各种进制的连接和应用有了更深的理解。老师只是说了要求和注意要点之后,让我们画出电路图来,再开学的第二天我们就泡在图书馆,为了能画出一份满意的电路图,终于在第三天画出了一份电路图,以为这已经是完美的,可是拿给老师看的时候才发现有那么多的缺陷,在老师的帮助下,我一步一步的将那些缺陷找出来并改正。在此非常感谢老师的帮助。

在本次课程设计我不仅仅是设计出了一个路灯控制器,我还收获了很多比如

说:理论与实践结合的重要性,元器件参数以及原理的掌握等等。这让我认识到了自己的不足,让我明确了努力的方向。在以后的学习生涯中我会不断的完善自己,用更多知识来丰富和充实自己,为自己以后的学习道路打下坚实的基础!

路灯控制器

致谢

此次课程设计已接近尾声,但在此期间我们收获颇丰。首先,在此感谢老师的大力支持和耐心的指导。此次课程设计中我们遇到了好多困难,开始的时候我们都不知道如何入手,是老师的指导让我们对所设计的课题有了基本的了解,并且在不知道器件的用途以及参数的时候,给予我们更好的思路和建议,使我们不仅顺利的完成了课程设计要求的内容,而且还对书中的内容有了进一步的认识和理解,在此表示深深的感谢。

此时此刻也特别感谢学校图书馆的支持与鼓励,科技书刊借阅室和多媒体电子阅览室为我们提供了本设计要求所需资料。

沈阳工程学院课程设计

参考文献

[1] 中国IC网. www.21IC.com

[2] www.cediy.com 中国电子设计网

[3] http://www.lib.sie.edu.cn/ 沈阳工程学院图书馆

[4] 康华光.电子技术基础 数字部分(第五版).北京:高等教育出版社

[5] http://group.ednchina.com/2762/ EDN电子技术设计

路灯控制器

沈阳工程学院课程设计

附录


相关文章

  • 太阳能路灯调研报告
  • 太阳能路灯调研报告 2015.8.20 目录 1 太阳能及太阳能产品 . ............................................... 2 1.1 太阳能 ......................... ...查看


  • 路灯自动控制器
  • 南京机电职业技术学院 毕 业 设 计(论 文) 题目 路灯自动照明控制器 系部 电子工程系 专业 电子工艺与管理 姓名 朱琼琼 学号 G20061520 指导老师 李 华 2009年4月28日 目 录 绪 论 一课题背景与意义 第一章 基于 ...查看


  • 城市路灯照明智能控制系统探究
  • 城市路灯照明智能控制系统探究 王卫国 无锡市彦博机电设备安装有限公司,江苏 无锡214000 摘要:就目前我国各行各业的发展而言,环保节能与智能化生产管理是每个行业必经的革新阶段.我国经济建设起步较晚,但成长迅速,致使许多城市的道路设施跟不 ...查看


  • 路灯设计方案
  • 北京大学校园路灯改造设计及现状介绍 [日期: 2006-07-03 ] [字体:大 中 小] 摘要:作者最近对北京大学校园内几条道路的路灯进行了改造设计,在设计过程中对校园整体室外照明有了一定的了解.就室外照明的系统及控制方式.光源类型的选 ...查看


  • 路灯节能控制系统设计
  • 模拟路灯节能控制系统的设计 1 引言 在倡导绿色用电的今天,路灯节能控制日益成为人们关注的话题,这里设计并制作一套模拟路灯节能控制系统.节能控制系统结构如图1 所示. 图1 模拟路灯节能控制系统结构图 模拟路灯节能控制系统实现的功能: 支路 ...查看


  • 路灯智能监控系统方案
  • 路灯智能监控系统方案 一.系统简介 路灯智能监控系统采用了先进的数字信号处理技术.电源管理技术.无线通信技术.数据库管理技术等,实现城市路灯照明系统的遥测遥控和路灯节能功能,是现代意义的城市路灯综合管理系统.在通信和软件处理方式上,系统通过 ...查看


  • led路灯测试标准(推荐性)
  • XXXXX 分类号: 备案号: 国家半导体照明工程研发及产业联盟推荐性技术规范 LB/T 001-2008 _____________________________________ 整体式LED路灯的测量方法 Measurement Me ...查看


  • 定时光控路灯控制电路
  • 路灯的定时控制开启,分时段关闭,需要随季节天气变化及黑夜的长短来设定自动开启和关闭的时间. 一.设计方案 学校和单位用的自动打铃器,有时间设定数字显示.停电保持记忆等功能,电路也比较成熟,性能稳定可靠.可任意设置打铃的时间和次数.利用它的定 ...查看


  • 太阳能路灯电池组件
  • *太阳能路灯电池组件:晶体硅80-200WP(按负载配置) *太阳能路灯系统工作电压:直流12V―24V *控制器:太阳能LED路灯专用控制器,光控+时控,智能控制(天黑灯自开,天亮灯自熄灭) *太阳能路灯储能电池:全封闭免维护铅酸蓄电池1 ...查看


热门内容