交通灯设计实验报告

实验一:交通灯设计 学院:研究生院 学号:1400030034 姓名:张秋明

一、 实验目的及要求

(1)任务:设计简易交通灯。

(2)要求:信号灯交互关闭和开启。

(3)在软件环境下,编写源文件,用器件实现。

二、 实现程序

library ieee;

use ieee.std_logic_1164.all;

entity traffic is

port(clk:in std_logic;

clr:in std_logic;

s1:out std_logic_vector(2 downto 0);--red,yellow,green

s2:out std_logic_vector(2 downto 0));

end traffic;

architecture behave of traffic is

signal count:integer:=0;

begin

process(clk,clr)

begin

if(clr='0')then

count

s1

s2

elsif(rising_edge(clk))then

count

if(count

s1

s2

elsif(count

s1

s2

elsif(count

s1

s2

else

count

end if;

end if;

end process;

end behave;

三、 实验步骤

1. 打开操作软件diamond 。

2. 建工程并选器件

新建工程file->new->project->next,然后在弹出来的对话框中填写相关内容led ,在Location 栏上选用上面已经建好的文件夹(F/boge);

3. 建立源文件

新建项目file->new->file在对话框New File中,选择verilog ;在name 栏中输入文件名(traffic),点击FINISH ,在Lattice Diamond主界面出现traffic.v ,在TextEditer 中编辑输入Verilog 语言源程序并保存;

4. 综合

在主界面Process 窗口中双击Translate Design,对所编辑的代码进行综合。

5. 管脚分配

在pin 一栏中填入各输入输出映射的管脚。分配完管脚之后点击保存,在主界面Process 框中,对Map Design,Place&Route Design,Export Files选中所有的选项,然后右键选中JEDEC File,单击其下拉菜单中的Rerun All;

管脚分配:如下图

6. 烧写程序

在Diamond 主界面中,进入Tools->Programmer,点击OK 键,然后点击图标,在State 栏出现PASS ,即说明烧写成功。

四、 实验结果

通过把clk 设置成

50

五、 实验心得

该实验让我对乘法器的工作原理有了一些了解,实验过程重点在于移位。在完成本实验过程中对diamond 和verilog 语言更加熟悉。整个实验进行比较顺利。同时复习了一下数字逻辑电路设计的基本方法和基本原则,加深了我对数字电路设计的理解,提高了我在电路设计上的能力。

实验一:交通灯设计 学院:研究生院 学号:1400030034 姓名:张秋明

一、 实验目的及要求

(1)任务:设计简易交通灯。

(2)要求:信号灯交互关闭和开启。

(3)在软件环境下,编写源文件,用器件实现。

二、 实现程序

library ieee;

use ieee.std_logic_1164.all;

entity traffic is

port(clk:in std_logic;

clr:in std_logic;

s1:out std_logic_vector(2 downto 0);--red,yellow,green

s2:out std_logic_vector(2 downto 0));

end traffic;

architecture behave of traffic is

signal count:integer:=0;

begin

process(clk,clr)

begin

if(clr='0')then

count

s1

s2

elsif(rising_edge(clk))then

count

if(count

s1

s2

elsif(count

s1

s2

elsif(count

s1

s2

else

count

end if;

end if;

end process;

end behave;

三、 实验步骤

1. 打开操作软件diamond 。

2. 建工程并选器件

新建工程file->new->project->next,然后在弹出来的对话框中填写相关内容led ,在Location 栏上选用上面已经建好的文件夹(F/boge);

3. 建立源文件

新建项目file->new->file在对话框New File中,选择verilog ;在name 栏中输入文件名(traffic),点击FINISH ,在Lattice Diamond主界面出现traffic.v ,在TextEditer 中编辑输入Verilog 语言源程序并保存;

4. 综合

在主界面Process 窗口中双击Translate Design,对所编辑的代码进行综合。

5. 管脚分配

在pin 一栏中填入各输入输出映射的管脚。分配完管脚之后点击保存,在主界面Process 框中,对Map Design,Place&Route Design,Export Files选中所有的选项,然后右键选中JEDEC File,单击其下拉菜单中的Rerun All;

管脚分配:如下图

6. 烧写程序

在Diamond 主界面中,进入Tools->Programmer,点击OK 键,然后点击图标,在State 栏出现PASS ,即说明烧写成功。

四、 实验结果

通过把clk 设置成

50

五、 实验心得

该实验让我对乘法器的工作原理有了一些了解,实验过程重点在于移位。在完成本实验过程中对diamond 和verilog 语言更加熟悉。整个实验进行比较顺利。同时复习了一下数字逻辑电路设计的基本方法和基本原则,加深了我对数字电路设计的理解,提高了我在电路设计上的能力。


相关文章

  • 基于multisim的交通信号灯实验报告
  • 摘要 Multisim 是EDA 仿真设计系统的一个重要组成部分,它创建电路方便,且仿真所用的仪器及仿真数据读取方法都与实际实验方法相似,有各种虚拟仪器和仪表可以使用.且不消耗实际元器件.降低了实验成本,节省实验时间,提高了实验效率,利用M ...查看


  • [电子技术基础综合实验
  • <电子技术基础综合 实验(Ⅰ) > 实验资料 电子实训中心 2002 年 9 月 一.本课程设置目的 模拟电子技术是各理工科院校学生必修科目, 对培养学生理论联系实际的能力起着重要 的作用.根据教育部最新制定的<高职高专教 ...查看


  • 西安交通大学数字逻辑电路实验报告
  • 电子技术实验报告 --交通控制器的分析与设计 班级: 姓名: 学号: 日期: 2016年6月 联系电话: 目 录 一.实验目的----------------------------3 二.项目设计概要------------------- ...查看


  • 电工电子实习内容
  • 第三部分 电工电子实训指导 实训一 可编程控制器(PLC)应用设计实训一 --天塔之光 一.实验目的 1.加深学生对各种指令功能及其特点的理解,初步掌握利用PLC解决工程问题的方法. 2.用PLC构成闪光灯系统. 二.实验设备 1L8亮,2 ...查看


  • 用与非门设计一个四变量表决电路
  • 1 用与非门设计一个四变量表决电路.当变量A.B.C.D有3个或3个以上为1时输出为Y1输入为其它状态时输出Y0.2 用与非门设计一个故障指示电路.两台电动机同时工作时绿灯亮一台电动机发生故障时黄灯亮两台电动机同时发生故障时红灯亮.写出详细 ...查看


  • 数字系统课程设计-交通灯控制器实验报告
  • 交通灯控制器 --数字系统设计报告 姓名: 学号: 一. 实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习.正确分析和解决问 ...查看


  • 交通灯控制器实验报告
  • ........ 学院 <单片机原理及应用> 课程设计报告 题 目:班 级:学生姓名:学 号:指导老师:日 期: 交通灯控制器 年 月 日 摘 要 当前,大量的信号灯电路正向着数字化.小功率.多样化.方便人.车.路三者关系的协调 ...查看


  • [社会调查研究与方法]
  • <社会调查研究与方法>形成性考核册及参考答案 (注意:每次作业的最后一道题,不能照抄,仅供参考) 作业一(导论--第3章) 一.填空题(每空1分,共10分) 1. 社会调查研究是人们有计划.有目的地运用一定的手段和方法,对有关社 ...查看


  • 数字电路实验(1)基础实验
  • 电子技术基础实验(二)2.1 集成门电路的逻辑功能与QII应用 哈尔滨工程大学信息与通信工程学院 电子技术基础教研室  课程介绍 实验室守则 实验考核 实验内容 2.1.1. 2014092100 课程介绍 简介 课程介绍 2009 ...查看


热门内容