数字电子技术基础答案

数字电子技术基础答案

第1章

自测题 1.1填空题

1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. 2n

4. 逻辑代数 卡诺图 5.A(C) FB(D) 6.FA(D)(BC) 7. 代数法 卡诺图 8. 1 1.2判断题

1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C

1.4 F1A⊙B F2AB F3AB 1.5

1.6 L

1.7 YBCAB 习题

1.1 当A2A1A0000,A7到A3有1个不为0时,就可以被十进制8整除1.2 (a)LABBCAC(b)LAB

(c)SABC C0ABBCAC 1.3略

1.4 (1) F1()AB) F

1(CD)() (2) F2(B()A) F

2(A)(B) (3) F3C F

3ABDE

(4) F4())(A) F4(AE)(ABC)(D) 1.5 FABC

1.6 (1) LACB (2) LACBD (3) LAD (4) L (5) L0 1.7 L(A,B,C)ABCABBCC 1.8(1) F1CABD (2) F2ACABBC

(3) F3BAA (有多个答案) (4) F4CDCABAC (5) F5DBABDA (6) F61 1.9 (1) F1AAD (2) F2ACB

(3) F3B (4) F4 1.10 (1) F1B (2) F2CB

(3) F3CBA (4) F4 1.11 FDB

1.12 (1) F1D(多种答案) (2) F2BCD (3) F3CCB (4) F4BA (5) F5BD (6) F6AD(多种答案) (7) F7(多种答案) (8) F8BC(多种答案) (9) F9B 1.13 略

第2章

自测题 2.1 判断题

1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题

1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题

2.1解:Y1ABC

2.2解:(a)IVCCUCES120.3

BSR50

0.234mA

C I60.7

B

53

0.1mAIBS ∴三极管处于放大状态,uOVCCIBRC12500.117(V)。 (b) IVCCUCES50BSR.3

0.029mA

C503

I50.7

B

30

0.143mAIBS ∴三极管处于饱和状态,uOUCES0.3(V) (c)∵uBuI

uIVEE

RRRB10.66(V)

B1B2

∴三极管处于截止状态,uOVCC12(V) 2.3解:NIOL

OL

I10 IL

NIOH

OH

I20 IH

NOLNOH,取NONOL10。 2.4解:N8

OL0.420 NOH

0.40.02

20 取NO20。 2.5解:均为1.4V。 2.6解:Y1A Y2AB Y3AB Y4ABAB 2.7解:RV'CCUOHminLmax

NI5320.0290.04

kΩ2.63kΩ OHmIIH

RLmin

'VCCUOLmax50.4kΩ0.35kΩ IOLmaxMIIL1631

0.35kΩRL2.63kΩ,可以在此范围内选取某一标称阻值,如选RL1kΩ或RL2kΩ。

2.8解:(1)V1:1.4V V2:0.3V (2) V1:1.4V V2:0.3V

(3) V1:0.3V V2:3.6V

2.9解:(1) V:3.6V, uO0.3V (2) V:1.4V, uO0.3V (3) V:0V, uO0.3V (4) V:1.4V, uO0.3V 2.10解:(a) √ (b) × (c) √ (d) × 2.11解:uB0V 2.12 略 2.13 略

第3章

自测题 3.1判断题

1.× 2.√ 3.√ 4.√ 5.× 6.√ 7.× 8.× 3.2 选择题

1.CD 2.B 3.C 4.D 5.ACD 6.A 7.E 8.D 9.C 10.C 11.C 12.D 13.AB 14.A 15.AB 3.3 填空题 1. 低电平

2. 修改逻辑设计 接入滤波电容 加选通脉冲

习题

3.1解: (1) 输出函数逻辑表达式为:

LAPBPCP(ABC)P(ABC)ABC

(2)列出真值表,略

(3)分析逻辑功能:当A、B、C三个变量不一致时,电路输出为“1”,所以这个电路,称为“不一致电路”。

3.2 解: 输出函数逻辑表达式为:

YS3ABS2ABBS1BS0A

(2)列出真值表,略

(3)分析逻辑功能 :该电路是函数发生器。

3.3 解:(a)输出函数逻辑表达式为:

YAABBABABABAB,

逻辑功能:完成异或运算的逻辑功能。 (b)输出函数逻辑表达式为:

YAMBMAMBM,

逻辑功能:当M=0时,Y=B;当M=1时,Y=A。所以它的功能为:完成二选一数据选择器。

3.4 解:(1)列出该组合的真值表如表3-4。

表3-4 习题3.4的真值表

(2FABDACDBCDABCABCACDBCDABDABDACDBCDABCABCACDBCDABD

逻辑电路图略。

3.5 解: (a)Y=X2,2位二进制数的平方最大是1001,输出用4位二进制数Y3~Y0,真值表如表3.5所示。

表3.5(a)Y=X2的真值表

根据真值表3.5(a),写出最简表达式,并转化为与非形式。

Y3= Y2= Y1=0 Y0=B 逻辑电路图略。

(2) Y =X3 ,3位二进制数的立方最大是(27)10用5位二进制Y4~Y0表示,真值表如表3.5

(b)所示。 表3.5(b)Y=X3的真值表

根据真值表3.5(b),写出最简表达式,并转化为与非形式,如下所示: Y4=

Y3=A Y2=0

Y1= Y0=B 实现逻辑电路略。

3.6 解:首先将F1, F2, F3表示成最小项之和的形式,把二片2线—4线译码器扩展成3线—8线译码器即可实现该多输出函数。

FABABCmmmmmm

6706701

F2ABCm1

FABABm2m3m4m5m2m3m4m53

实现逻辑电路略。

3.7解:(1)F1m0m2m5m6m7m0m2m5m6m7 逻辑电路图略。

F1m2m3m11m15m2m3m11m15

(2)

F2m10m11m14m15m10m11m14m15

将二片3线—8线译码器扩展为4线—16线译码器,实现F1,F2。逻辑电路图略。

3.8 解: (1)将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子为:

Fm(1,2,4,7)m1m2m4m7

将本题目要实现的组合逻辑函数与74151的输出表达式进行比较。输入变量ABC将接至数据选择器的输入端A2A1A0;输出变量接至数据选择器的输出端;将逻辑函数F的最小项表达式与74151的输出表达式相比较,F式中没有出现的最小项对应的数据输入端应接0,即:D0=D3=D5=D6=0;D1=D2=D4=D7=1。逻辑电路图略。

(2) 将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子为:

Fm(0,1,2,3,8,9,10,11)ABCDABCDABCDABCDABCDABCDABCDABCDm0Dm0Dm1Dm1Dm4Dm4Dm5Dm5Dm0m1m4m5

将本题目要实现的组合逻辑函数与74151的输出表达式进行比较。即可得到如下式子。

逻辑电路图略。

(3) 将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子与74151的输出表达式进行比较。即可得到如下式子,画出连接电路图如图3.8(c)所示。

D0EDEDD5DEDE

D1DED6E

D2ED3DED4E

D7DEDE

逻辑电路图略。

3.9解:(1)当T=0时,ABCD作为4变量的最小项可知:F=∑m(8,9,10,11)+ ∑d(12,13,14,15)

当T=1时,ABCD作为4变量的最小项可知:F=∑m(2,3,4,5)+ ∑d(12,13,14,15) 利用卡诺图化简可得表达式:

FTATBCTABCTATBCTABC

逻辑电路图略。 (2)

FTATBCTABCTm1Tm2Tm6Tm4Tm5Tm6Tm7Tm1Tm2m6Tm4Tm5Tm7

将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子与74151的输出表达式进行比较。即可得到如下式子:

D0D30D1D2TD4D5D7TD61

逻辑电路图略。

3.10解:根据数据选择器的功能,写出其函数式:

F1m0Wm1WZm2XYWXYWZXYF2A[B(CD)BE]A[BDB(DE)]

3.11 解:列出真值表如表3.11所示,其中A、B、C三个变量表示三个人,Y表示提议是否通过;

(1)写出最简表达式:YABBCCAABBCCA 逻辑电路图略。 (2)写出最小项的表达式:Y=m3+m5+m6+m7=m3m5m6m7逻

略。3.12 解: 表3.12

(1Y3DBADC

Y2DBACBACAY1BABA

Y0DABACBA

逻辑电路图略。

(2)根据真值表可得:Y3Y2Y1Y0=DCBA-0011

可用74283表示减法运算,Y3Y2Y1Y0=DCBA-0011= DCBA+1100+1。逻辑电路图略。

3.13 解:根据真值表写出表达式:

FG1G0ABG1G0ABG1G0(AB)G1G0AB

G1G0ABG1G0(AB)G1G0(AB)G1G0(ABAB)

把上式表示成G1G0A这三个变量的最小项的形式,即可得到如下的式子:

FG1G0ABG1G0(AB)G1G0(AB)G1G0(ABAB)m1Bm2m3Bm2Bm5m5Bm4Bm6Bm7B m1Bm2m3Bm4Bm5m6Bm7B

上式和8选一数据选择器的标准式子相比较,可以得到

D00D1D4D6BD2D51D3D7B 逻辑电路图略。

3.14 解:这实际是利用数据选择器的使能端将若干片4选1扩展为20选1。 20选1的地址变量为5个,故高3位作为译码器的变量输入,译码器的输出作为4选1的选片信号,低2位作为4选1的地址变量。根据以上原理用5片4选1和3线—8线译码器及或门组成20选1。

图 3.14

3.15解

:

图3.15

3.16 解:该电路完成两个3位二进制数是否相同比较功能的电路。

3.17 解:将电路分成三个功能块:加法运算电路及比较器、译码电路、显示电路;

分析各个功能块的逻辑功能:

加法器的输出是A3~A0与B3~B0的和;比较器完成的是当加法器输出的和小于1010时,比较电路的输出YA

7448是BCD七段显示译码器,输出是高电平有效,可以直接驱动七段共阳极数码管。 显示电路显示十进制0~9。

由上述分析可知,该电路实现1位十进制加法器,数码管可以显示相加结果。当相加的结果大于1001时,数码管不显示。

3.18解:该电路是一个检测8421BCD码并将其进行四舍五入的电路。

3.19解: 根据提示和提议,列出真值表如表3.19所示。用F表示输出变量,并设F=1代表“可输血”;F=0代表“不可输血”。

根据真值表,写出A、B、C三变量的最小项的形式:

Fm0Dm0Dm1Dm1Dm2Dm4Dm5Dm6Dm7Dm0m1m2Dm4Dm5Dm6Dm7D

用74151来实现,则可得到:

D0D11D2D4D6D7DD30D5D

图略。

3.20 解:构成32地址译码系统需要用4片74LS138译码器。32地址对应5位二进制地址码A4A3A2A1A0

,低三位地址A2A1A0为每一片译码器提供8个低位地址,高位地址A4A3作为译码器的使能信号。

8~Y15

AA

Y24~Y31

3.21 解:分析:在使能条件下74138的每一个输出都是对应最小项的非,在使能条件下74151的输出是对应输入数据。因此将控制变量C2C1C0数据选择器的数据选择信号,则输入数据为输出函数,这些函数由三线/八线译码器经与非门组合提供。 根据题意,得到74151各个数据输入端的值为:

D0=0,D1=ABC=Y7,D2=A+B+C=Y0,D3=ABC=Y7,D4=ABC=Y0, D5=ABC=Y7Y4Y2Y1,D6= AB+AC+BC=Y7Y6Y5Y3,D7=1。

得逻辑图如图3.21所示。

图3.21

3.22解:

(1) FABABC

两个卡诺圈相切,当A=1,C=1时,F=B+ ,可能出现“0”冒险。

将F变换为F=AB+A

C+AC增加冗余项AC后消除了上述冒险。

(2) FABCCAABC F=A

当A=1,B=0时 F=

+C会出现“0”冒险

(a) (b)

消除方法增加冗余项A

F=A

无冒险。

(3)F=AB

当AB由01跳变到10时,由于门延迟不同可能会出现以下冒险

:

( c)

AB 01→11→10 F 1→0→1

AB 01→00→10 F 1→0→1 消除方法在输出端加采样电路,避开冒险 (4)F=

(d)

当ABC取111时

F= = 会产生“1”冒险 消除方法增加冗余项 =ACD+B+ABC

F= =

=0,消除“1”冒险

(5)F=(

+C)(A+C)

(e)

当C=0时,

,会产生“1”冒险。

消除方法:根据卡诺图重新化简。 F=C,则无冒险。

第4章

自测题

4.1 判断题1.×2.×3.√4.√5.×6.√7×.8.×9.×11.×

4.2 选择题1 A 2C 3B 4 B 5 B 6 A 7 B 8 BC 9 C 10 C 14D 15B 16B 17 ABC 18 ABD 19 BCD 4.3 填空题

1. RS、D、JK、T、T’ 2. 基本、同步、主从、边沿 3. 特性表、状态转换图、特性方程、波形图 4. S=0、R=0 5. 2、Q=1、Q=0,Q 6. 空翻、边沿触发器 7. 0、1 8. 保持 9.主从、边沿 10. 控制电路

11.高 12. Qn1JQnKQn、置0、置1、保持、翻转. 习题

D 11B,C 12C 13

4.1

SD

RD

Q

4.2

4.3

4.4

4.5

4.6

状态表

CP1

2

3

4

5

6

SR

QQ

D

DQQ

CP1

2

3

4

5

6

7

ABQ1

Q2

CPJKQ

CPDQ

1234567

(b)

4.7略 4.8

A BC

QQ

4.9解:Qn1n11Qn1Qn2,Q2Qn1

CPQ1Q2

4.10解:Qn1n1D,Qn12JQ2KQnnn2Q1Q2Qn1Qn2

CPDQ1Q2

4.11解:写出电路的输出方程 Qn1X

列状态转换表如下

1/0

0/0

1

1/1

4.12 画出此触发器的状态转换图。

Y=1X=x

Y=0X=x

1

X=1Y=x

X=0Y=x

第5章

自测题

5.1 选择题ADCDB,ABBBD,DABBA,C 5.2 判断题√√Χ√Χ,√√Χ√Χ,√ΧΧ√

5.3 填空题 1 数码,移位 2 组合,时序 3 4个 4 同步,异步 习题

5.1 (1)需要四个移位脉冲 (2)此移位寄存器为右移寄存器 (3)T

1f150KHz

20us,完成该操作需要20×4=80us的时间。5.2 此电路为能自启动的异步五进制计数器。 5.3 此电路为能自启动同步五进制计数器。 5.4 (1)计数器最高位触发器的输出脉冲频率为

f0

f51227KHz2

74KHz (2)需要用10个触发器构成。

5.5 此电路为一能自启动的同步五进制计数器。

5.6 计数器有六个独立状态,状态转换图如图T5-6所示。

图T5-6

5.7可以用下降沿触发的JK触发器构成的一个三进制计数器来实现。 输出方程和驱动方程为

ZQ1n

n

K11 J01n K01 J1Q0

能自启动。

逻辑图略

5.8 输出方程及驱动方程。

n ZQ2

nn

J2Q1Q0, K21 nn J1Q0 , K1Q0 n J0Q2, K01

能够自启动。电路图略 5.9输出方程,驱动方程

n

CQ21n

J2Q1n ,K21n

n

J12 ,K1Q0 n J01 ,K0Q2

n

电路能够自启动。逻辑图略。

5.10 (1)按照给定的状态转换图画出次态卡诺图如图T5-10(a)所示,求出A

n1

、B

n1

Cn1状态方程,选用D触发器,即得到驱动方程。

(a)

图T5-10(a)

An1、Bn1、Cn1的卡诺图分别如图T5-10(b)(c)(d)所示。

(b)

(c)

(d)

图T5-10(b)(c)(d)

合并1得到

An1nMn Bn1nMn Cn1nMn

(2)检查自启动能力

将M=0时,ABC=000、111代入状态方程,得到A将M=1时,ABC=000、111代入状态方程,得到A因此电路不能自启动。 (3)改圈C

n1

n

n

n

n

n

n

n1

Bn1Cn1=111、000。 Bn1Cn1=111、000。

n1

C的卡诺图即可使电路由不能自启动变为自启动,

n1

的卡诺图如图T5-10(e)。

图T5-10(e)

得到C

n1

nnnnMnCnMnBn

(4)画出电路图 电路图略。

5.11 (1)状态转换如图T5-11(a)所示:

图T5-11(a)

(2)选下降沿触发的JK触发器。求出输出方程和驱动方程

图T5-11(b)

(3)检查自启动 能自启动 (4)画出逻辑图

5.12 (a)八进制计数器

(b)七进制计数器

5.13 CT74290(ⅠⅡ)为九进制计数器,CT74290(Ⅱ)为六进制计数器,因此此电路为9*6=54进制计数器。

5.14 该图为六进制计数器。 5.15 解法一:;40=10*4 电路如图T5-15(a)所示。

图T5-15(a)

解法二:40=5*8 电路如图T5-15(b)所示。

图T5-15(b)

5.16解:用CT74290构成8421BCD码的24进制计数器如图T5-16所示。

图T5-16

5.17 方案一:电路如图T5-17(a)所示。

图T5-17(a)

方案二:电路如图T5-17(b)所示。

图T5-17(b)

5.18 CT74160为带同步预置端的十进制加法计数器,由图可知,当CO=1时,

nnnn

Q2Q1Q0D3D2D1D0y3y2y1y0;而T1147为二—十进制优先权编码器,LD0,Q3

当10时,同时其余输入端为1时,32101110,D3D2D1D00001,此时CT160 为九进制计数器,其状态转换图如图T5-18所示.

图T5-18

5-19 波形图如图T5-19所示。

图T5-19

5.20 CT161(Ⅰ)为九进制计数器,CT74161(Ⅱ)为四进制计数器

5.21 可采用多种方法构成

图T5-21

.

图T5-21

5.22 方案一,采用反馈归零法,(100)D=(11000100)B,如图T5-22(a)所示。

图T5-22(a) 方案二,采用级连法100=10×10,如图T5-22(b)

图T5-22(b)

5.23 96KHz÷60=1600=16×10×10 其中方案之一如图T5-23所示。

.图T5-23

5-24 (1) 该计数器为六进制计数器。状态转换图如图T5-24a所示。

图T5-24a

(2)由状态转换图可以得到次态卡诺图如图5-24b。

图5-24b

(3)选用JK触发器,由次态卡诺图得到电路的状态方程和驱动方程。

n1nQ2Q02n nnnQ1n1Q21nQ2Q1

n1n

Q0Q1n0nQ1nQ0

J0Q1n,K01n

nnJ1Q2,K12 n

J2Q0,K21

(4)检查自启动能力

将110和111代入电路的状态方程得到次态分别为011和001,因此电路能自启动。 (5)根据驱动方程画出电路图。电路图略。

5.25 (1)CT4194清零后,S1S0=01,处于右移工作状态,为五进制计数器,图b为七进制计数器。

(2) T4194构成扭环形计数器时,从Q0 、Q1、 Q2 、Q3取反馈分别构成2、4、6、8分频(即M=2n)。如果将两个相邻触发器输出端加到与非门输入端共同作为反馈信号来说,就可使计数器的模M由2n变为2n-1. 5.26

(a)

(b) T5-26

5.27 由表T5-27可知,此电路每隔八个CP脉冲循环一次,所以应设计一个八进制计数器。用CT74290利用反馈归零法实现八进制计数器 ,然后再对计数器的输出进行译码,从而实

现需要的输出。

(1)译码真值表如表T5-27b。

表T5-27b

(2)写出逻辑函数表达式 由真值表可得输出表达式:

nnnnn

A(红)=3n2n1nQ03nQ21n0n3nQ2Q1Q0

B(绿)=32Q103Q2103Q2Q10 C(黄)=32Q1Q03Q2103Q21Q0 (3)化简

利用约束项并用卡诺图化简得:

A(红)=21Q0Q210Q2Q1Q0

n

B(绿)=Q1n0nQ20n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

nnnnnnnnnnnn

nnnn

C(黄)=Qn212Q1Q0

(4)电路图略

第6章

自测题 6.1判断题 1.×,2.√,3.×,4.×,5.×,6.√,7.×,8.× 6.2 选择题

1.BC 2.B 3.C 4.AB 5.B 6.B 7.B 8.D 9.C 10.D 11.B 12.D 6.3填空题

1.TTL、COMS 2.滞后,回差、输出脉冲宽度 3.多谐振荡器,施密特触发器、单稳态触发器 4 石英晶体振荡器、暂稳态 习题 6.1 略 6.2 略 6.3

uUU

uot

6.4

6.5 略

6.6解:(1)555组成的单稳态触发器。

(2)uI、uO波形如图所示。输出脉冲宽度由下式求得:

TW=RCln3=100×103×3.3×10-6×1.1=363(ms)

6.7此电路属于施密特触发器形式。正常工作时,光电管导通,施密特触发器输入为高电平,OUT=“0”。一但出现断线故障,光电管截止,施密特触发器输入变为低电平,OUT=“1”,继电器使开关闭合,DL报警。 6.8解(1)

( 2 )增大 R 3

( 3 )电路中电容 C2 起滤波作用,电容 C3 起隔直、通交流的作用。 6.9解:(1)多谐振荡器

(2)当细铜丝不断时,555 定时器的RD 置成低电平,使Q 输出始终为低电平,喇叭

不响。当细铜丝拉断时,555 定时器的RD 置成高电平,Q输出方波信号,喇叭发出报警声。 6.10解:(1)计数器的状态转换图为:

为三进制计数器。

(2)TW=0.7RextCext=0.7×50×103×0.02×10-6=0.7ms (3)

第7章

自测题 7.1 判断题

1.√ 2.√ 3.√ 4. × 5.× 6.× 7.× 8.√ 9.√ 10.√ 7.2 选择题

1.BD 2.D 3.C 4.C 5.C 6.C 7.A 8.D 9.B 10.A 11.D 12.C 13.A 14.ACD 15.B

7.3 填空题

1. 存储容量 存取时间 2.电容,暂时存储信息,地址译码器,读/写控制,存储矩阵 3.掩膜ROM、可编程ROM、可擦除可编程ROM

习题

7.1解:把上述式子转化成最小项的形式:

YY11

Am(B2C,3,4,A5B,8C,9,14A,15BC)ABC Y2m(6,7,10,11,14,15) Y(0,3,6,9,12,

3m15) Y4m(7,11,13,14,15)

7.2解:把上述式子转化成最小项的形式:



Y1m(1,4,5,6)

Y2m(3,5,6,7)

7.3 解:用1KB×1位的RAM扩展成1KB×4位的存储器,

需用4片如图11-16所示的RAM芯片,接线图为:

7.4

7.5略。

第8章

自 测 题

8.1 解:可编程逻辑器件主要有:PROM、PLA、PAL、GAL、CPLD、FPGA。 可编程逻辑器件是可由用户编程、配置的一类逻辑器件的泛称。可编程逻辑器件实际上是一种将不具有特定逻辑功能的基本逻辑单元集成的通用大规模集成电路,用户可以根据需要对其编程,进而实现所需的逻辑功能。

8.2 解:PAL相对于PROM而言,使用更灵活,且易于完成多种逻辑功能,同时又比PLA工艺简单,易于实现。它采用双极型工艺制作,熔丝编程方式,工作速度较高。它由可编程的与逻辑阵列、固定的或逻辑阵列和输出电路三部分组成。通过对与逻辑阵列编程,可以获得不同形式的组合逻辑函数。另外,在有些型号的PAL器件中,输出电路中设置有触发器和从触发器输出到与逻辑阵列的反馈线,利用这种PAL器件还可以很方便地构成各种时序逻辑电路。

PAL器件的输出电路结构有:专用输出结构、可编程输入/输出结构、寄存器输出结构、异或输出结构、运算选通反馈结构等五种类型。

8.3 解:PAL采用双极型工艺制作,熔丝编程方式,工作速度较高。它由可编程的与逻辑阵列、固定的或逻辑阵列和输出电路三部分组成。通过对与逻辑阵列编程,可以获得不同形式的组合逻辑函数。另外,在有些型号的PAL器件中,输出电路中设置有触发器和从触发器输出到与逻辑阵列的反馈线,利用这种PAL器件还可以很方便地构成各种时序逻辑电路。 GAL是在PAL的基础上发展起来的,它继承了PAL的与-或阵列结构,不同的是它采用了电擦除可编程的E2CMOS工艺制作,有电擦写反复编程的特性。GAL器件具有灵活的输出结构,它的输出端设置了可编程的输出逻辑宏单元(OLMC, Output Logic Macro Cell),通过编程可以将OLMC设置成不同的输出方式,具有很强的通用性。

8.4 解:GAL采用了电擦除可编程的E2CMOS工艺制作,有电擦写反复编程的特性。 GAL的输出逻辑宏单元能实现专用输入、专用组合、输出反馈组合、输出时序电路组合输出、寄存器输出等逻辑功能。

8.5 解:① 结构差异。CPLD大多是基于乘积项(Product-Term)技术和E2PROM(或Flash)工艺的;FPGA一般是基于查找表(LUT)技术和SRAM工艺的。

② 延迟可预测能力。CPLD的布线结构决定了它的时序延迟是均匀的和可预测的;FPGA的布线结构导致了传输延迟是不相等的、不可预测的,这会给设计工作带来麻烦,也限制了器件的工作速度。

③ 适合场所。虽然CPLD和FPGA的集成度都可达到数十万门,但相比较而言,CPLD更适合于完成各类算法和组合逻辑;而FPGA则更适合于完成时序较多的逻辑电路。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。 ④ CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或Flash技术,无需外部存储器芯片,使用简单;而FPGA的编程信息需存放在外部存储器上,使用方法复杂,且FPGA的编程数据存放在EPROM中,读出并送到FPGA的SRAM中,不利于保密。基于SRAM编程的FPGA在系统断电时编程信息会随之丢失,因此每次开始工作时都要重新装载编程数据。

⑤ 在编程上,FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程;FPGA主要通过改变内部连线的布线来编程。FPGA在逻辑门下编程;而CPLD在逻辑块下编程。

⑥ 一般情况下,CPLD的功耗要比FPGA的大,且集成度越高越明显。 习 题

8.1 解:可编程逻辑器件的发展经历了以下过程:PROM→PLA→PAL→GAL→CPLD→FPGA。第7章讲述的PROM就是一种PLD器件,PROM之后产生了可编程逻辑阵列(PLA, Programmable Logic Array)、可编程阵列逻辑(PAL, Programmable Array Logic)、通用阵列逻辑(GAL, Generic Array Logic)、复杂可编程逻辑器件(CPLD, Complex Programmable Logic Device)和现场可编程门阵列(FPGA, Field Programmable Gate Array)等几种类型。

8.2 解:

8.3 解:在结构上,它包括宏单元(Macrocell)、逻辑阵列块(LAB, Logic Array Block)、扩展乘积项(EPT, Expender Product Term)、可编程连线阵列(PIA, Programmable Interconnect Array)和I/O控制块(I/O Control Block)等几部分。

宏单元是CPLD的基本结构,由它来实现基本的逻辑功能。每个LAB中包含16个宏单元,其中每个宏单元有一个可编程的与阵列、一个固定的或阵列以及一个可编程的寄存器。各逻辑阵列块LAB之间通过可编程连线阵列PIA连接进行信号传递。I/O控制块负责输入、输出的电气特性控制,比如可以设定集电极开路输出、三态输出等。

8.4 解:FLEX 10K系列在结构上包括嵌入式阵列块(EAB, Embedded Array Block)、逻辑阵列块(LAB)、快速通道(Fast Track)互连和输入/输出单元(IOE, In-Out Element)四部分。

EAB是一种输入、输出端带有寄存器的非常灵活的RAM,它既可以作为存储器使用,也可以用来实现逻辑功能。EAB用来实现逻辑功能时,每个EAB可相当于大约100~300个等效门,能方便地构成乘法器、加法器、纠错电路等模块,并由这些功能模块可以进一步构成诸如数字滤波器、微控制器等系统。逻辑功能通过配置时,编程EAB为只读模型,生成一个大的查找表LUT来实现。在这个LUT中,组合功能是通过查找表而不是通过运算来完成的,其速度比用常规逻辑运算实现时更快,且这一优势因EAB的快速访问而得到了进一步加强。

8.5 解:FLEX 10K系列器件中哪个是易失性的。

第9章

自测题 9.1 判断题

1. √ 2. × 3. √ 4. √ 5. √ 6. √ 7. × 8. √ 9. √ 10√ 9.2 选择题

1.C D 2.B 3.C 4.A 5.D 6.B 7.B 8.A 9.3 填空题

1.采样 保持 量化 编码 2.转换精度 转换时间(转换率) 习题

V19.5mV,分辨率1/(281)0.00392。 9.1 解:最小模拟输出电压5/20.0195

9.2解:11位。

9.3解:9位。

9.4解:-5.469V。

9.5解:Rf10k。

9.6解:重复周期10.24ms,输出波形略。

9.7解:采样 保持 量化 编码,采样信号的最低频率10kHz。

9.8解:d2d1d0111。

9.9解:(1)0110111010 (2)0.024ms。

9.10解: uI和UREF极性相同,即uI和-UREF极性相反,且满足uIUREF。如果uIREF,不能完成模数转换,因为反向积分过程中计数器将产生溢出,产生错误结果。

9.11解:(1)应选择10位的A/D转换器

(2) 如果uIREF,反向积分过程中计数器将产生溢出,产生错误结果。 8

31

数字电子技术基础答案

第1章

自测题 1.1填空题

1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. 2n

4. 逻辑代数 卡诺图 5.A(C) FB(D) 6.FA(D)(BC) 7. 代数法 卡诺图 8. 1 1.2判断题

1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C

1.4 F1A⊙B F2AB F3AB 1.5

1.6 L

1.7 YBCAB 习题

1.1 当A2A1A0000,A7到A3有1个不为0时,就可以被十进制8整除1.2 (a)LABBCAC(b)LAB

(c)SABC C0ABBCAC 1.3略

1.4 (1) F1()AB) F

1(CD)() (2) F2(B()A) F

2(A)(B) (3) F3C F

3ABDE

(4) F4())(A) F4(AE)(ABC)(D) 1.5 FABC

1.6 (1) LACB (2) LACBD (3) LAD (4) L (5) L0 1.7 L(A,B,C)ABCABBCC 1.8(1) F1CABD (2) F2ACABBC

(3) F3BAA (有多个答案) (4) F4CDCABAC (5) F5DBABDA (6) F61 1.9 (1) F1AAD (2) F2ACB

(3) F3B (4) F4 1.10 (1) F1B (2) F2CB

(3) F3CBA (4) F4 1.11 FDB

1.12 (1) F1D(多种答案) (2) F2BCD (3) F3CCB (4) F4BA (5) F5BD (6) F6AD(多种答案) (7) F7(多种答案) (8) F8BC(多种答案) (9) F9B 1.13 略

第2章

自测题 2.1 判断题

1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题

1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题

2.1解:Y1ABC

2.2解:(a)IVCCUCES120.3

BSR50

0.234mA

C I60.7

B

53

0.1mAIBS ∴三极管处于放大状态,uOVCCIBRC12500.117(V)。 (b) IVCCUCES50BSR.3

0.029mA

C503

I50.7

B

30

0.143mAIBS ∴三极管处于饱和状态,uOUCES0.3(V) (c)∵uBuI

uIVEE

RRRB10.66(V)

B1B2

∴三极管处于截止状态,uOVCC12(V) 2.3解:NIOL

OL

I10 IL

NIOH

OH

I20 IH

NOLNOH,取NONOL10。 2.4解:N8

OL0.420 NOH

0.40.02

20 取NO20。 2.5解:均为1.4V。 2.6解:Y1A Y2AB Y3AB Y4ABAB 2.7解:RV'CCUOHminLmax

NI5320.0290.04

kΩ2.63kΩ OHmIIH

RLmin

'VCCUOLmax50.4kΩ0.35kΩ IOLmaxMIIL1631

0.35kΩRL2.63kΩ,可以在此范围内选取某一标称阻值,如选RL1kΩ或RL2kΩ。

2.8解:(1)V1:1.4V V2:0.3V (2) V1:1.4V V2:0.3V

(3) V1:0.3V V2:3.6V

2.9解:(1) V:3.6V, uO0.3V (2) V:1.4V, uO0.3V (3) V:0V, uO0.3V (4) V:1.4V, uO0.3V 2.10解:(a) √ (b) × (c) √ (d) × 2.11解:uB0V 2.12 略 2.13 略

第3章

自测题 3.1判断题

1.× 2.√ 3.√ 4.√ 5.× 6.√ 7.× 8.× 3.2 选择题

1.CD 2.B 3.C 4.D 5.ACD 6.A 7.E 8.D 9.C 10.C 11.C 12.D 13.AB 14.A 15.AB 3.3 填空题 1. 低电平

2. 修改逻辑设计 接入滤波电容 加选通脉冲

习题

3.1解: (1) 输出函数逻辑表达式为:

LAPBPCP(ABC)P(ABC)ABC

(2)列出真值表,略

(3)分析逻辑功能:当A、B、C三个变量不一致时,电路输出为“1”,所以这个电路,称为“不一致电路”。

3.2 解: 输出函数逻辑表达式为:

YS3ABS2ABBS1BS0A

(2)列出真值表,略

(3)分析逻辑功能 :该电路是函数发生器。

3.3 解:(a)输出函数逻辑表达式为:

YAABBABABABAB,

逻辑功能:完成异或运算的逻辑功能。 (b)输出函数逻辑表达式为:

YAMBMAMBM,

逻辑功能:当M=0时,Y=B;当M=1时,Y=A。所以它的功能为:完成二选一数据选择器。

3.4 解:(1)列出该组合的真值表如表3-4。

表3-4 习题3.4的真值表

(2FABDACDBCDABCABCACDBCDABDABDACDBCDABCABCACDBCDABD

逻辑电路图略。

3.5 解: (a)Y=X2,2位二进制数的平方最大是1001,输出用4位二进制数Y3~Y0,真值表如表3.5所示。

表3.5(a)Y=X2的真值表

根据真值表3.5(a),写出最简表达式,并转化为与非形式。

Y3= Y2= Y1=0 Y0=B 逻辑电路图略。

(2) Y =X3 ,3位二进制数的立方最大是(27)10用5位二进制Y4~Y0表示,真值表如表3.5

(b)所示。 表3.5(b)Y=X3的真值表

根据真值表3.5(b),写出最简表达式,并转化为与非形式,如下所示: Y4=

Y3=A Y2=0

Y1= Y0=B 实现逻辑电路略。

3.6 解:首先将F1, F2, F3表示成最小项之和的形式,把二片2线—4线译码器扩展成3线—8线译码器即可实现该多输出函数。

FABABCmmmmmm

6706701

F2ABCm1

FABABm2m3m4m5m2m3m4m53

实现逻辑电路略。

3.7解:(1)F1m0m2m5m6m7m0m2m5m6m7 逻辑电路图略。

F1m2m3m11m15m2m3m11m15

(2)

F2m10m11m14m15m10m11m14m15

将二片3线—8线译码器扩展为4线—16线译码器,实现F1,F2。逻辑电路图略。

3.8 解: (1)将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子为:

Fm(1,2,4,7)m1m2m4m7

将本题目要实现的组合逻辑函数与74151的输出表达式进行比较。输入变量ABC将接至数据选择器的输入端A2A1A0;输出变量接至数据选择器的输出端;将逻辑函数F的最小项表达式与74151的输出表达式相比较,F式中没有出现的最小项对应的数据输入端应接0,即:D0=D3=D5=D6=0;D1=D2=D4=D7=1。逻辑电路图略。

(2) 将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子为:

Fm(0,1,2,3,8,9,10,11)ABCDABCDABCDABCDABCDABCDABCDABCDm0Dm0Dm1Dm1Dm4Dm4Dm5Dm5Dm0m1m4m5

将本题目要实现的组合逻辑函数与74151的输出表达式进行比较。即可得到如下式子。

逻辑电路图略。

(3) 将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子与74151的输出表达式进行比较。即可得到如下式子,画出连接电路图如图3.8(c)所示。

D0EDEDD5DEDE

D1DED6E

D2ED3DED4E

D7DEDE

逻辑电路图略。

3.9解:(1)当T=0时,ABCD作为4变量的最小项可知:F=∑m(8,9,10,11)+ ∑d(12,13,14,15)

当T=1时,ABCD作为4变量的最小项可知:F=∑m(2,3,4,5)+ ∑d(12,13,14,15) 利用卡诺图化简可得表达式:

FTATBCTABCTATBCTABC

逻辑电路图略。 (2)

FTATBCTABCTm1Tm2Tm6Tm4Tm5Tm6Tm7Tm1Tm2m6Tm4Tm5Tm7

将要实现的函数转换3变量的最小项的形式,这3个变量要与74151的3个地址端对应,不妨取A、B、C这3个变量,整理后的式子与74151的输出表达式进行比较。即可得到如下式子:

D0D30D1D2TD4D5D7TD61

逻辑电路图略。

3.10解:根据数据选择器的功能,写出其函数式:

F1m0Wm1WZm2XYWXYWZXYF2A[B(CD)BE]A[BDB(DE)]

3.11 解:列出真值表如表3.11所示,其中A、B、C三个变量表示三个人,Y表示提议是否通过;

(1)写出最简表达式:YABBCCAABBCCA 逻辑电路图略。 (2)写出最小项的表达式:Y=m3+m5+m6+m7=m3m5m6m7逻

略。3.12 解: 表3.12

(1Y3DBADC

Y2DBACBACAY1BABA

Y0DABACBA

逻辑电路图略。

(2)根据真值表可得:Y3Y2Y1Y0=DCBA-0011

可用74283表示减法运算,Y3Y2Y1Y0=DCBA-0011= DCBA+1100+1。逻辑电路图略。

3.13 解:根据真值表写出表达式:

FG1G0ABG1G0ABG1G0(AB)G1G0AB

G1G0ABG1G0(AB)G1G0(AB)G1G0(ABAB)

把上式表示成G1G0A这三个变量的最小项的形式,即可得到如下的式子:

FG1G0ABG1G0(AB)G1G0(AB)G1G0(ABAB)m1Bm2m3Bm2Bm5m5Bm4Bm6Bm7B m1Bm2m3Bm4Bm5m6Bm7B

上式和8选一数据选择器的标准式子相比较,可以得到

D00D1D4D6BD2D51D3D7B 逻辑电路图略。

3.14 解:这实际是利用数据选择器的使能端将若干片4选1扩展为20选1。 20选1的地址变量为5个,故高3位作为译码器的变量输入,译码器的输出作为4选1的选片信号,低2位作为4选1的地址变量。根据以上原理用5片4选1和3线—8线译码器及或门组成20选1。

图 3.14

3.15解

:

图3.15

3.16 解:该电路完成两个3位二进制数是否相同比较功能的电路。

3.17 解:将电路分成三个功能块:加法运算电路及比较器、译码电路、显示电路;

分析各个功能块的逻辑功能:

加法器的输出是A3~A0与B3~B0的和;比较器完成的是当加法器输出的和小于1010时,比较电路的输出YA

7448是BCD七段显示译码器,输出是高电平有效,可以直接驱动七段共阳极数码管。 显示电路显示十进制0~9。

由上述分析可知,该电路实现1位十进制加法器,数码管可以显示相加结果。当相加的结果大于1001时,数码管不显示。

3.18解:该电路是一个检测8421BCD码并将其进行四舍五入的电路。

3.19解: 根据提示和提议,列出真值表如表3.19所示。用F表示输出变量,并设F=1代表“可输血”;F=0代表“不可输血”。

根据真值表,写出A、B、C三变量的最小项的形式:

Fm0Dm0Dm1Dm1Dm2Dm4Dm5Dm6Dm7Dm0m1m2Dm4Dm5Dm6Dm7D

用74151来实现,则可得到:

D0D11D2D4D6D7DD30D5D

图略。

3.20 解:构成32地址译码系统需要用4片74LS138译码器。32地址对应5位二进制地址码A4A3A2A1A0

,低三位地址A2A1A0为每一片译码器提供8个低位地址,高位地址A4A3作为译码器的使能信号。

8~Y15

AA

Y24~Y31

3.21 解:分析:在使能条件下74138的每一个输出都是对应最小项的非,在使能条件下74151的输出是对应输入数据。因此将控制变量C2C1C0数据选择器的数据选择信号,则输入数据为输出函数,这些函数由三线/八线译码器经与非门组合提供。 根据题意,得到74151各个数据输入端的值为:

D0=0,D1=ABC=Y7,D2=A+B+C=Y0,D3=ABC=Y7,D4=ABC=Y0, D5=ABC=Y7Y4Y2Y1,D6= AB+AC+BC=Y7Y6Y5Y3,D7=1。

得逻辑图如图3.21所示。

图3.21

3.22解:

(1) FABABC

两个卡诺圈相切,当A=1,C=1时,F=B+ ,可能出现“0”冒险。

将F变换为F=AB+A

C+AC增加冗余项AC后消除了上述冒险。

(2) FABCCAABC F=A

当A=1,B=0时 F=

+C会出现“0”冒险

(a) (b)

消除方法增加冗余项A

F=A

无冒险。

(3)F=AB

当AB由01跳变到10时,由于门延迟不同可能会出现以下冒险

:

( c)

AB 01→11→10 F 1→0→1

AB 01→00→10 F 1→0→1 消除方法在输出端加采样电路,避开冒险 (4)F=

(d)

当ABC取111时

F= = 会产生“1”冒险 消除方法增加冗余项 =ACD+B+ABC

F= =

=0,消除“1”冒险

(5)F=(

+C)(A+C)

(e)

当C=0时,

,会产生“1”冒险。

消除方法:根据卡诺图重新化简。 F=C,则无冒险。

第4章

自测题

4.1 判断题1.×2.×3.√4.√5.×6.√7×.8.×9.×11.×

4.2 选择题1 A 2C 3B 4 B 5 B 6 A 7 B 8 BC 9 C 10 C 14D 15B 16B 17 ABC 18 ABD 19 BCD 4.3 填空题

1. RS、D、JK、T、T’ 2. 基本、同步、主从、边沿 3. 特性表、状态转换图、特性方程、波形图 4. S=0、R=0 5. 2、Q=1、Q=0,Q 6. 空翻、边沿触发器 7. 0、1 8. 保持 9.主从、边沿 10. 控制电路

11.高 12. Qn1JQnKQn、置0、置1、保持、翻转. 习题

D 11B,C 12C 13

4.1

SD

RD

Q

4.2

4.3

4.4

4.5

4.6

状态表

CP1

2

3

4

5

6

SR

QQ

D

DQQ

CP1

2

3

4

5

6

7

ABQ1

Q2

CPJKQ

CPDQ

1234567

(b)

4.7略 4.8

A BC

QQ

4.9解:Qn1n11Qn1Qn2,Q2Qn1

CPQ1Q2

4.10解:Qn1n1D,Qn12JQ2KQnnn2Q1Q2Qn1Qn2

CPDQ1Q2

4.11解:写出电路的输出方程 Qn1X

列状态转换表如下

1/0

0/0

1

1/1

4.12 画出此触发器的状态转换图。

Y=1X=x

Y=0X=x

1

X=1Y=x

X=0Y=x

第5章

自测题

5.1 选择题ADCDB,ABBBD,DABBA,C 5.2 判断题√√Χ√Χ,√√Χ√Χ,√ΧΧ√

5.3 填空题 1 数码,移位 2 组合,时序 3 4个 4 同步,异步 习题

5.1 (1)需要四个移位脉冲 (2)此移位寄存器为右移寄存器 (3)T

1f150KHz

20us,完成该操作需要20×4=80us的时间。5.2 此电路为能自启动的异步五进制计数器。 5.3 此电路为能自启动同步五进制计数器。 5.4 (1)计数器最高位触发器的输出脉冲频率为

f0

f51227KHz2

74KHz (2)需要用10个触发器构成。

5.5 此电路为一能自启动的同步五进制计数器。

5.6 计数器有六个独立状态,状态转换图如图T5-6所示。

图T5-6

5.7可以用下降沿触发的JK触发器构成的一个三进制计数器来实现。 输出方程和驱动方程为

ZQ1n

n

K11 J01n K01 J1Q0

能自启动。

逻辑图略

5.8 输出方程及驱动方程。

n ZQ2

nn

J2Q1Q0, K21 nn J1Q0 , K1Q0 n J0Q2, K01

能够自启动。电路图略 5.9输出方程,驱动方程

n

CQ21n

J2Q1n ,K21n

n

J12 ,K1Q0 n J01 ,K0Q2

n

电路能够自启动。逻辑图略。

5.10 (1)按照给定的状态转换图画出次态卡诺图如图T5-10(a)所示,求出A

n1

、B

n1

Cn1状态方程,选用D触发器,即得到驱动方程。

(a)

图T5-10(a)

An1、Bn1、Cn1的卡诺图分别如图T5-10(b)(c)(d)所示。

(b)

(c)

(d)

图T5-10(b)(c)(d)

合并1得到

An1nMn Bn1nMn Cn1nMn

(2)检查自启动能力

将M=0时,ABC=000、111代入状态方程,得到A将M=1时,ABC=000、111代入状态方程,得到A因此电路不能自启动。 (3)改圈C

n1

n

n

n

n

n

n

n1

Bn1Cn1=111、000。 Bn1Cn1=111、000。

n1

C的卡诺图即可使电路由不能自启动变为自启动,

n1

的卡诺图如图T5-10(e)。

图T5-10(e)

得到C

n1

nnnnMnCnMnBn

(4)画出电路图 电路图略。

5.11 (1)状态转换如图T5-11(a)所示:

图T5-11(a)

(2)选下降沿触发的JK触发器。求出输出方程和驱动方程

图T5-11(b)

(3)检查自启动 能自启动 (4)画出逻辑图

5.12 (a)八进制计数器

(b)七进制计数器

5.13 CT74290(ⅠⅡ)为九进制计数器,CT74290(Ⅱ)为六进制计数器,因此此电路为9*6=54进制计数器。

5.14 该图为六进制计数器。 5.15 解法一:;40=10*4 电路如图T5-15(a)所示。

图T5-15(a)

解法二:40=5*8 电路如图T5-15(b)所示。

图T5-15(b)

5.16解:用CT74290构成8421BCD码的24进制计数器如图T5-16所示。

图T5-16

5.17 方案一:电路如图T5-17(a)所示。

图T5-17(a)

方案二:电路如图T5-17(b)所示。

图T5-17(b)

5.18 CT74160为带同步预置端的十进制加法计数器,由图可知,当CO=1时,

nnnn

Q2Q1Q0D3D2D1D0y3y2y1y0;而T1147为二—十进制优先权编码器,LD0,Q3

当10时,同时其余输入端为1时,32101110,D3D2D1D00001,此时CT160 为九进制计数器,其状态转换图如图T5-18所示.

图T5-18

5-19 波形图如图T5-19所示。

图T5-19

5.20 CT161(Ⅰ)为九进制计数器,CT74161(Ⅱ)为四进制计数器

5.21 可采用多种方法构成

图T5-21

.

图T5-21

5.22 方案一,采用反馈归零法,(100)D=(11000100)B,如图T5-22(a)所示。

图T5-22(a) 方案二,采用级连法100=10×10,如图T5-22(b)

图T5-22(b)

5.23 96KHz÷60=1600=16×10×10 其中方案之一如图T5-23所示。

.图T5-23

5-24 (1) 该计数器为六进制计数器。状态转换图如图T5-24a所示。

图T5-24a

(2)由状态转换图可以得到次态卡诺图如图5-24b。

图5-24b

(3)选用JK触发器,由次态卡诺图得到电路的状态方程和驱动方程。

n1nQ2Q02n nnnQ1n1Q21nQ2Q1

n1n

Q0Q1n0nQ1nQ0

J0Q1n,K01n

nnJ1Q2,K12 n

J2Q0,K21

(4)检查自启动能力

将110和111代入电路的状态方程得到次态分别为011和001,因此电路能自启动。 (5)根据驱动方程画出电路图。电路图略。

5.25 (1)CT4194清零后,S1S0=01,处于右移工作状态,为五进制计数器,图b为七进制计数器。

(2) T4194构成扭环形计数器时,从Q0 、Q1、 Q2 、Q3取反馈分别构成2、4、6、8分频(即M=2n)。如果将两个相邻触发器输出端加到与非门输入端共同作为反馈信号来说,就可使计数器的模M由2n变为2n-1. 5.26

(a)

(b) T5-26

5.27 由表T5-27可知,此电路每隔八个CP脉冲循环一次,所以应设计一个八进制计数器。用CT74290利用反馈归零法实现八进制计数器 ,然后再对计数器的输出进行译码,从而实

现需要的输出。

(1)译码真值表如表T5-27b。

表T5-27b

(2)写出逻辑函数表达式 由真值表可得输出表达式:

nnnnn

A(红)=3n2n1nQ03nQ21n0n3nQ2Q1Q0

B(绿)=32Q103Q2103Q2Q10 C(黄)=32Q1Q03Q2103Q21Q0 (3)化简

利用约束项并用卡诺图化简得:

A(红)=21Q0Q210Q2Q1Q0

n

B(绿)=Q1n0nQ20n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

n

nnnnnnnnnnnn

nnnn

C(黄)=Qn212Q1Q0

(4)电路图略

第6章

自测题 6.1判断题 1.×,2.√,3.×,4.×,5.×,6.√,7.×,8.× 6.2 选择题

1.BC 2.B 3.C 4.AB 5.B 6.B 7.B 8.D 9.C 10.D 11.B 12.D 6.3填空题

1.TTL、COMS 2.滞后,回差、输出脉冲宽度 3.多谐振荡器,施密特触发器、单稳态触发器 4 石英晶体振荡器、暂稳态 习题 6.1 略 6.2 略 6.3

uUU

uot

6.4

6.5 略

6.6解:(1)555组成的单稳态触发器。

(2)uI、uO波形如图所示。输出脉冲宽度由下式求得:

TW=RCln3=100×103×3.3×10-6×1.1=363(ms)

6.7此电路属于施密特触发器形式。正常工作时,光电管导通,施密特触发器输入为高电平,OUT=“0”。一但出现断线故障,光电管截止,施密特触发器输入变为低电平,OUT=“1”,继电器使开关闭合,DL报警。 6.8解(1)

( 2 )增大 R 3

( 3 )电路中电容 C2 起滤波作用,电容 C3 起隔直、通交流的作用。 6.9解:(1)多谐振荡器

(2)当细铜丝不断时,555 定时器的RD 置成低电平,使Q 输出始终为低电平,喇叭

不响。当细铜丝拉断时,555 定时器的RD 置成高电平,Q输出方波信号,喇叭发出报警声。 6.10解:(1)计数器的状态转换图为:

为三进制计数器。

(2)TW=0.7RextCext=0.7×50×103×0.02×10-6=0.7ms (3)

第7章

自测题 7.1 判断题

1.√ 2.√ 3.√ 4. × 5.× 6.× 7.× 8.√ 9.√ 10.√ 7.2 选择题

1.BD 2.D 3.C 4.C 5.C 6.C 7.A 8.D 9.B 10.A 11.D 12.C 13.A 14.ACD 15.B

7.3 填空题

1. 存储容量 存取时间 2.电容,暂时存储信息,地址译码器,读/写控制,存储矩阵 3.掩膜ROM、可编程ROM、可擦除可编程ROM

习题

7.1解:把上述式子转化成最小项的形式:

YY11

Am(B2C,3,4,A5B,8C,9,14A,15BC)ABC Y2m(6,7,10,11,14,15) Y(0,3,6,9,12,

3m15) Y4m(7,11,13,14,15)

7.2解:把上述式子转化成最小项的形式:



Y1m(1,4,5,6)

Y2m(3,5,6,7)

7.3 解:用1KB×1位的RAM扩展成1KB×4位的存储器,

需用4片如图11-16所示的RAM芯片,接线图为:

7.4

7.5略。

第8章

自 测 题

8.1 解:可编程逻辑器件主要有:PROM、PLA、PAL、GAL、CPLD、FPGA。 可编程逻辑器件是可由用户编程、配置的一类逻辑器件的泛称。可编程逻辑器件实际上是一种将不具有特定逻辑功能的基本逻辑单元集成的通用大规模集成电路,用户可以根据需要对其编程,进而实现所需的逻辑功能。

8.2 解:PAL相对于PROM而言,使用更灵活,且易于完成多种逻辑功能,同时又比PLA工艺简单,易于实现。它采用双极型工艺制作,熔丝编程方式,工作速度较高。它由可编程的与逻辑阵列、固定的或逻辑阵列和输出电路三部分组成。通过对与逻辑阵列编程,可以获得不同形式的组合逻辑函数。另外,在有些型号的PAL器件中,输出电路中设置有触发器和从触发器输出到与逻辑阵列的反馈线,利用这种PAL器件还可以很方便地构成各种时序逻辑电路。

PAL器件的输出电路结构有:专用输出结构、可编程输入/输出结构、寄存器输出结构、异或输出结构、运算选通反馈结构等五种类型。

8.3 解:PAL采用双极型工艺制作,熔丝编程方式,工作速度较高。它由可编程的与逻辑阵列、固定的或逻辑阵列和输出电路三部分组成。通过对与逻辑阵列编程,可以获得不同形式的组合逻辑函数。另外,在有些型号的PAL器件中,输出电路中设置有触发器和从触发器输出到与逻辑阵列的反馈线,利用这种PAL器件还可以很方便地构成各种时序逻辑电路。 GAL是在PAL的基础上发展起来的,它继承了PAL的与-或阵列结构,不同的是它采用了电擦除可编程的E2CMOS工艺制作,有电擦写反复编程的特性。GAL器件具有灵活的输出结构,它的输出端设置了可编程的输出逻辑宏单元(OLMC, Output Logic Macro Cell),通过编程可以将OLMC设置成不同的输出方式,具有很强的通用性。

8.4 解:GAL采用了电擦除可编程的E2CMOS工艺制作,有电擦写反复编程的特性。 GAL的输出逻辑宏单元能实现专用输入、专用组合、输出反馈组合、输出时序电路组合输出、寄存器输出等逻辑功能。

8.5 解:① 结构差异。CPLD大多是基于乘积项(Product-Term)技术和E2PROM(或Flash)工艺的;FPGA一般是基于查找表(LUT)技术和SRAM工艺的。

② 延迟可预测能力。CPLD的布线结构决定了它的时序延迟是均匀的和可预测的;FPGA的布线结构导致了传输延迟是不相等的、不可预测的,这会给设计工作带来麻烦,也限制了器件的工作速度。

③ 适合场所。虽然CPLD和FPGA的集成度都可达到数十万门,但相比较而言,CPLD更适合于完成各类算法和组合逻辑;而FPGA则更适合于完成时序较多的逻辑电路。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。 ④ CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或Flash技术,无需外部存储器芯片,使用简单;而FPGA的编程信息需存放在外部存储器上,使用方法复杂,且FPGA的编程数据存放在EPROM中,读出并送到FPGA的SRAM中,不利于保密。基于SRAM编程的FPGA在系统断电时编程信息会随之丢失,因此每次开始工作时都要重新装载编程数据。

⑤ 在编程上,FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程;FPGA主要通过改变内部连线的布线来编程。FPGA在逻辑门下编程;而CPLD在逻辑块下编程。

⑥ 一般情况下,CPLD的功耗要比FPGA的大,且集成度越高越明显。 习 题

8.1 解:可编程逻辑器件的发展经历了以下过程:PROM→PLA→PAL→GAL→CPLD→FPGA。第7章讲述的PROM就是一种PLD器件,PROM之后产生了可编程逻辑阵列(PLA, Programmable Logic Array)、可编程阵列逻辑(PAL, Programmable Array Logic)、通用阵列逻辑(GAL, Generic Array Logic)、复杂可编程逻辑器件(CPLD, Complex Programmable Logic Device)和现场可编程门阵列(FPGA, Field Programmable Gate Array)等几种类型。

8.2 解:

8.3 解:在结构上,它包括宏单元(Macrocell)、逻辑阵列块(LAB, Logic Array Block)、扩展乘积项(EPT, Expender Product Term)、可编程连线阵列(PIA, Programmable Interconnect Array)和I/O控制块(I/O Control Block)等几部分。

宏单元是CPLD的基本结构,由它来实现基本的逻辑功能。每个LAB中包含16个宏单元,其中每个宏单元有一个可编程的与阵列、一个固定的或阵列以及一个可编程的寄存器。各逻辑阵列块LAB之间通过可编程连线阵列PIA连接进行信号传递。I/O控制块负责输入、输出的电气特性控制,比如可以设定集电极开路输出、三态输出等。

8.4 解:FLEX 10K系列在结构上包括嵌入式阵列块(EAB, Embedded Array Block)、逻辑阵列块(LAB)、快速通道(Fast Track)互连和输入/输出单元(IOE, In-Out Element)四部分。

EAB是一种输入、输出端带有寄存器的非常灵活的RAM,它既可以作为存储器使用,也可以用来实现逻辑功能。EAB用来实现逻辑功能时,每个EAB可相当于大约100~300个等效门,能方便地构成乘法器、加法器、纠错电路等模块,并由这些功能模块可以进一步构成诸如数字滤波器、微控制器等系统。逻辑功能通过配置时,编程EAB为只读模型,生成一个大的查找表LUT来实现。在这个LUT中,组合功能是通过查找表而不是通过运算来完成的,其速度比用常规逻辑运算实现时更快,且这一优势因EAB的快速访问而得到了进一步加强。

8.5 解:FLEX 10K系列器件中哪个是易失性的。

第9章

自测题 9.1 判断题

1. √ 2. × 3. √ 4. √ 5. √ 6. √ 7. × 8. √ 9. √ 10√ 9.2 选择题

1.C D 2.B 3.C 4.A 5.D 6.B 7.B 8.A 9.3 填空题

1.采样 保持 量化 编码 2.转换精度 转换时间(转换率) 习题

V19.5mV,分辨率1/(281)0.00392。 9.1 解:最小模拟输出电压5/20.0195

9.2解:11位。

9.3解:9位。

9.4解:-5.469V。

9.5解:Rf10k。

9.6解:重复周期10.24ms,输出波形略。

9.7解:采样 保持 量化 编码,采样信号的最低频率10kHz。

9.8解:d2d1d0111。

9.9解:(1)0110111010 (2)0.024ms。

9.10解: uI和UREF极性相同,即uI和-UREF极性相反,且满足uIUREF。如果uIREF,不能完成模数转换,因为反向积分过程中计数器将产生溢出,产生错误结果。

9.11解:(1)应选择10位的A/D转换器

(2) 如果uIREF,反向积分过程中计数器将产生溢出,产生错误结果。 8

31


相关文章

  • 大学几乎所有学科的课本答案[2]
  • 大学几乎所有学科的课本答案! 来源: 任明嘉的日志 经济金融 [PDF格式]<会计学原理>同步练习题答案 [Word格式]<成本会计>习题及答案(自学推荐,23页) [Word格式]<成本会计>配套习题集 ...查看


  • 数字媒体技术基础A答案
  • 教育科学学院2011 –2012 学年第 1学期期末考试 数字媒体艺术10-5班<数字媒体技术基础>试卷A 卷参考答案 一.填空题:(每空1分,共20分) 1.感觉媒体.表述媒体.表现媒体.存储媒体.传输媒体. 2.元素化.互动 ...查看


  • 电子商务技术基础课后习题及答案
  • 电子商务技术基础课后习题及参考答案 第一章:参考答案 1.传统的商务与现代电子商务有什么区别? 参考答案:电子商务将传统商业活动中物流.资金流.信息流的传递方式利用网络科技整合,企业将重要的信息通过全球信息网(WWW).企业内部网(intr ...查看


  • 编辑记者资格考试新闻基础知识试题(6)
  • (练习题及参考答案)新闻事业属于上层建筑意识形态范畴 题目 1.新闻事业属于一定社会的上层建筑(??)范畴. 2.新闻事业与国家机器.政治法律机构的区别主要表现在(??)上. 3.新闻事业与哲学.文学.艺术等比较有何特点? 参考答案 1.意 ...查看


  • 2016年信息技术考试(含答案)
  • 2016年信息技术考试 一.判断题 (本大题共25小题,每小题2分,共50分) 1.网站发布后经营者最希望的就是有更多的人很快就知道自己的网站.( ) 正确 错误 (参考答案) :正确 2.稀缺性是一切经济资源共有的特征.( ) 正确 错误 ...查看


  • 16秋北航[数字媒体精品赏析]在线作业三
  • 北航<数字媒体精品赏析>在线作业三 一.单选题(共 10 道试题,共 40 分.) 1. ( )是Windows 的通用声音格式. A. WA V B. MP3 C. BMP D. CAD 正确答案: 2. ()是利用光学原理与 ...查看


  • 2017智慧城市继续教育题库单选题
  • 一.单项选择题( 每题1.5分, 共30题 ) 1 . 下列选项中,智慧城市建设中数据服务融合层不包含哪项内容? 咨询服务 2 . 有详细的步骤和方法,逻辑性强,主要是针对\n一个复杂组织的完整架构开发,但很难裁剪的EA 框架是哪项? DO ...查看


  • 第八届蓝桥杯大赛竞赛大纲(电子类)
  • 第八届蓝桥杯全国软件和信息技术专业人才大赛 个人赛规则(电子类) 单片机设计与开发项目 1. 参赛资格 具有正式学籍的在校全日制本科及高职高专学生(以报名时状态为准). 2. 竞赛用时 预赛时长:5小时 决赛时长:5小时 3. 竞赛形式 个 ...查看


  • [多媒体技术基础及应用]模拟试卷和答案
  • 浙 江 广 播 电 视 大 学 计算机科学与技术专业(开放本科) <多媒体技术基础及应用>模拟试卷 一.单项选择题(每题2分,共20分) 1.多媒体技术未来发展的方向是( ). (1)高分辨率,提高显示质量. (2)高速度化,缩 ...查看


  • 第13讲,1l411090广播电视系统
  • 1L411090广播电视系统 1L411091广播电视技术基础 1L411092广播电视系统组成 1L411093广播电视技术发展趋势 1L411091广播电视技术基础 知识点一.广播电视技术基础(了解) 知识点二.声音广播基础知识 声音广 ...查看


热门内容