出租车程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity czc is

port(sz,ks,tz,zt,jk:in std_logic;

ss2:out std_logic;

ss1:out std_logic_vector(7 downto 0);

ss3:out std_logic_vector(6 downto 0));

end czc;

architecture one of czc is

component oneMHZ

port(daclk:in std_logic;

clkout:out std_logic);

end component;

component jifei

port(clk,start,stop,pause,js:in std_logic;

chefei,luc:out integer range 0 to 8000);

end component;

component x

port(daclk:in std_logic;

ascore,bscore:in integer range 0 to 8000;

age,ashi,abai,aqian,bge,bshi,bbai,bqian:out std_logic_vector(3 downto 0));

end component;

component se

port(clk0:in std_logic;

a:out std_logic_vector(2 downto 0));

end component;

component mux8_1

port(c:in std_logic_vector(2 downto 0);

dp:out std_logic;

a1,a2,a3,a4,b1,b2,b3,b4:in std_logic_vector(3 downto 0);

d:out std_logic_vector(3 downto 0));

end component;

component di3_8

port(c0:in std_logic_vector(2 downto 0);

s:out std_logic_vector(7 downto 0));

end component;

component di

port(d1:in std_logic_vector(3 downto 0);

q:out std_logic_vector(6 downto 0));

end component;

signal aa1:std_logic;

signal aa2:std_logic_vector(2 downto 0);

signal aa3,aa4:integer range 0 to 8000;

signal bb1,bb2,bb3,bb4,cc1,cc2,cc3,cc4,d0:std_logic_vector(3 downto 0);

begin

u1:oneMHZ port map(daclk=>sz,clkout=>aa1);

u2:jifei port map(clk=>aa1,start=>ks,stop=>tz,pause=>zt,js=>jk,chefei=>aa3,luc=>aa4);

u3:x port map(ascore=>aa3,bscore=>aa4,daclk=>sz,age=>bb1,ashi=>bb2,abai=>bb3,aqian=>bb4,bge=>cc1,bshi=>cc2,bbai=>cc3,bqian=>cc4);

u4:se port map(clk0=>sz,a=>aa2);

u5:mux8_1 port map(c=>aa2,a1=>bb1,a2=>bb2,a3=>bb3,a4=>bb4,b1=>cc1,b2=>cc2,b3=>cc3,b4=>cc4,dp=>ss2,d=>d0);

u6:di3_8 port map(c0=>aa2,s=>ss1);

u7:di port map(d1=>d0,q=>ss3);

end one;

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity czc is

port(sz,ks,tz,zt,jk:in std_logic;

ss2:out std_logic;

ss1:out std_logic_vector(7 downto 0);

ss3:out std_logic_vector(6 downto 0));

end czc;

architecture one of czc is

component oneMHZ

port(daclk:in std_logic;

clkout:out std_logic);

end component;

component jifei

port(clk,start,stop,pause,js:in std_logic;

chefei,luc:out integer range 0 to 8000);

end component;

component x

port(daclk:in std_logic;

ascore,bscore:in integer range 0 to 8000;

age,ashi,abai,aqian,bge,bshi,bbai,bqian:out std_logic_vector(3 downto 0));

end component;

component se

port(clk0:in std_logic;

a:out std_logic_vector(2 downto 0));

end component;

component mux8_1

port(c:in std_logic_vector(2 downto 0);

dp:out std_logic;

a1,a2,a3,a4,b1,b2,b3,b4:in std_logic_vector(3 downto 0);

d:out std_logic_vector(3 downto 0));

end component;

component di3_8

port(c0:in std_logic_vector(2 downto 0);

s:out std_logic_vector(7 downto 0));

end component;

component di

port(d1:in std_logic_vector(3 downto 0);

q:out std_logic_vector(6 downto 0));

end component;

signal aa1:std_logic;

signal aa2:std_logic_vector(2 downto 0);

signal aa3,aa4:integer range 0 to 8000;

signal bb1,bb2,bb3,bb4,cc1,cc2,cc3,cc4,d0:std_logic_vector(3 downto 0);

begin

u1:oneMHZ port map(daclk=>sz,clkout=>aa1);

u2:jifei port map(clk=>aa1,start=>ks,stop=>tz,pause=>zt,js=>jk,chefei=>aa3,luc=>aa4);

u3:x port map(ascore=>aa3,bscore=>aa4,daclk=>sz,age=>bb1,ashi=>bb2,abai=>bb3,aqian=>bb4,bge=>cc1,bshi=>cc2,bbai=>cc3,bqian=>cc4);

u4:se port map(clk0=>sz,a=>aa2);

u5:mux8_1 port map(c=>aa2,a1=>bb1,a2=>bb2,a3=>bb3,a4=>bb4,b1=>cc1,b2=>cc2,b3=>cc3,b4=>cc4,dp=>ss2,d=>d0);

u6:di3_8 port map(c0=>aa2,s=>ss1);

u7:di port map(d1=>d0,q=>ss3);

end one;


相关文章

  • 程序正当是依法行政的保障
  • 加强程序法制建设 ----我国"听证制度"的困境与破解 一. 导言 在法的价值体系中,"正义"是法追求的最高价值."正义"有实体正义和程序正义之分.法谚云:正义是应当实现的,而且应 ...查看


  • 出租车计价器的设计
  • 出租车计价器的设计 摘要:出租车计价器的硬件设计以STC89S52单片机为核心控制元件,利用灵敏的光电传感器对车轮的转数进行采集,从而对行驶距离进行测量,输出采用LCD1602液晶显示器,可以显示路程.金额.时间等信息,温度控制芯片采用体积 ...查看


  • 开题报告和论文要求
  • 毕 业 设 计(论 文)开 题 报 告 学生在开题报告上主要写的内容是:一.论文选题的目的与意义:二.选题的现状和前景展望(例如:交通灯控制电路的现状.发展趋势等): 三.设计的主要内容和技术要求:四.可行性分析.研究方法和设计步骤. 商丘 ...查看


  • 西安市出租汽车经营权管理办法
  • 西安市出租汽车经营权管理办法> 各区.县人民政府,市人民政府各工作部门,各直属机构: <西安市出租汽车经营权管理办法>已经市政府研究同意,现印发给你们,请认真贯彻实施. 西安市人民政府 2013年2月1日 西安市出租汽车经 ...查看


  • 出租车计价器课程设计报告
  • HEFEI UNIVERSITY 微机原理与接口技术 课程设计 系 别 电子信息与电气工程系 姓 名 梁 超 完成时间 2011-12-28 班 级 09自动化(1)班 蒋业万 目录 一.引言 ....................... ...查看


  • APP进入汽车领域
  • APP进入汽车领域 你会想象到,汽车会有朝一日,几乎有与手机一样的各种应用功能吗?当今IT界最新潮的APP应用,如今也正在进入汽车车载领域了. 首先要了解,所谓的APP就是"application"应用程序的意思.一开始 ...查看


  • 内部审查报告 要提交给黄总的书面材料
  • 内部审查报告 编号:荣内审(2015041402) 基本情况说明:此审查报告审查范围主要包括三个方面: 对企业相关书面合同的审查 发掘公司业务流程中存在的实际问题 对公司经营战略的可行性和存在的风险进行综合评估 目的:向董事会提出切实可行的 ...查看


  • 关于出租被查封房屋的法律效力
  • 关于出租被查封房屋的法律效力 一.关于房屋被查封后的权利属性. 查封是程序法上的概念,作为一种诉讼保全措施,是为保障债权人利益,而对债务人处分财产的一种限制,实质是对债务人物权处分权能的限制.不同于通过设定抵押.质押等实体权利实现的物权限制 ...查看


  • 案例分析大赛最终版
  • 由"深圳的士燃油附加费急涨"事件论公共政策稳定性 一案例陈述: 1事件背景 深圳收取燃油附加费的规定源自2009年举行的一场出租车费的听证会.那次听证会结果之一是,未来深圳的出租车费将启动油价运价联动机制.也就是油价变化 ...查看


热门内容