交通灯控制器的设计

电子设计自动化实训说明书

题 目: 交通灯控制器的设计 系 部: 信息与控制工程学院 专 业: 电子信息工程 班 级: 06级1班

学生姓名: 朱清美 学 号: [1**********] 指导教师: 张建军

2009年12月21日

目 录

1摘要 .............................................................. 3 2设计任务与要求 .................................................... 4 3设计原理及框图 .................................................... 4 4单元电路设计及仿真调试 ............................................ 6

4.1状态控制器的设计 ............................................ 6 4.2状态译码器设计及仿真调试 .................................... 9 4.3定时系统设计及仿真调试 ..................................... 11 4.4秒脉冲发生器设计 ........................................... 13 5个人总结 ......................................................... 14 6参考文献 ......................................................... 15

1摘要:

分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 关键词:交通控制 交通灯 时间发生器 定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,笔者进行了深入的研究,以下就城乡交通灯控制系统的电路原理、设计计算和实验调试等问题来进行具体分析讨论。

2设计任务与要求

设计一个十字路口交通灯信号控制器,要求如下:

1. 主、支干道交替通行,主干道每次放行30s ,支干道每次放行20s 。 2. 绿灯亮表示可通行,红灯亮表示禁止通行。 3. 每次绿灯变红灯时,黄灯先亮5s 。 4. 在黄灯亮时,原红灯按1Hz 的频率闪烁。

5. 十字路口的交通灯要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。

3设计原理及框图

十字路口的交通灯指挥着行人和各种陈车辆的安全通行。有一个主干道和支干道

的十字路口,如图1所示。

图一

两条支干道上都设置了红、绿、黄3色信号灯。红灯表示禁止通行,绿灯表示可以通行,在绿灯变红灯时先要求黄灯亮几秒钟,以便让停车线一外的车辆体制运行。因为主干道上的车辆多,所以放行的时间要长。

要实现上述交通信号灯的自动控制,则要求控制电路由秒脉冲信号发生器、计数器、状态控制器、信号灯译码驱动电路和数字显示译码驱动电路几部分组成。整机电路的设计框图如图2所示

图二 交通灯控制系统组成框图

状态控制器用于记录十字路口交通灯的工作状态,实现对主、支干道车辆运行状态的控制。状态译码器根据状态控制器所处的状态,通过状态译码器分别驱动点亮相应的信号灯,指挥主、支干道的行人和车辆。通过减法计数器对秒脉冲信号作减计数,完成计时任务,达到控制每一种工作状态持续时间的目的。减法计数器的回零脉冲控制状态控制器完成状态转换,同时状态译码器根据系统下一个工作状态,决定计数器下一次减计数的初始值。减法计数器的状态由BCD 码译码器译码,驱动数码管显示。在黄灯亮期间,状态译码器将秒脉冲引入红灯控制器,使红灯闪烁。

4单元电路设计及仿真调试

4.1状态控制器的设计

根据设计要求,因主干道和支干道各有3种灯(红、黄、绿),它们在正常工作时,发亮的灯只有4种可能的组合:主绿灯亮,支红灯亮,主干道通行;主黄灯

亮,支红灯闪烁,主干道停车;主红灯亮,支绿灯亮,支干道通行;主红灯闪烁,

支黄灯亮支干道停车。各信号灯的工作顺序流程如图三所示。

30s 未到

5s 未到

20s 未到

5s 未到

图三 交通灯工作顺序流程图

信号灯4种不同的状态分别用S0、S1、S2、S3表示,其状态编码及状态转换图如图四所示。

图四 交通灯状态编码及状态转换图

由图四可知其显然是一个2位二进制计数器,可采用多种中规模集成计数器来实现。本电路采用74LS161的4位二进制计数器直接利用其低位构成2位二进制计数器来实现状态的转换,电路如图9五(a )所示。

将状态控制器创建为子电路。分别在各端口接入输入/输出端口,注意端口的左右放置,朝左放置是输入端口,朝右放置是输出端口,然后将电路全选,选择Place/Replace by Subcircuit菜单命令,在弹出的对话框中输入子电路名称statecontrol ,创建的子电路如图五(b )所示,RC1是来自减法计数器的控制脉冲输入端,Q 2和Q 1是控制信号输出端。

(a)

Statecontrol

(b )

4.2状态译码器设计及仿真调试

之间的关系见真值表一。对于信号灯的状态,1表示灯亮,0表示灯灭。

表一 信号灯信号状态真值表

根据真值表,可求出各信号灯的逻辑函数表达式为:

R=Q2Q 1+Q2Q 1=Q2 R=Q2 Y=Q2Q 1 Y=Q2Q 1 G=Q2Q 1 G=Q2Q 1 R=Q2Q 1+Q2Q 1=Q2 2=Q2

y=Q2Q 1 2Q 1 2Q 1 g=Q21

选择发光二极管来模拟交通灯,状态译码器仿真电路如图六所示,其中X1是状态控制器子电路。

由于门电路带灌电流的能力一般比带拉电流的能力强,故当显示电路设计的是输出低电平时,会点亮相应的发光二极管。再考虑到设计任务要求,当黄灯亮时,红灯按1Hz 的频率闪烁。从信号灯的信号状态真值表中可以看出,当黄灯亮时,Q 1必为高电平,而红灯点亮信号与Q 1无关。可利用Q 1信号控制一个三态门电路74LS125,由于74LS125的使能端是低电平有效,所以将Q 1引入到使能端。当黄灯亮时,Q 1为高电平,Q 1为低电平,使能端有效,将秒脉冲信号引到驱动红灯的与非门输入端,使红灯在黄灯亮期间闪烁;否则,将秒脉冲信号隔离,红灯信号不受黄灯信号控制。图9-24所示的仿真电路中,为了快速仿真,来自减法计数器的控制脉冲用了100Hz 的脉冲信号仿真,故秒信号采用了1kHz 的脉冲信号仿真,故秒信号采用了1kHz

的信号仿真。

图六 交通灯状态显示仿真电路

4.3定时系统设计及仿真调试

根据设计要求,交通灯控制系统要有一个能自动置入不同定时时间的定时器,以完成30s 、20s 及5s 的定时任务。该定时器由两片74LS190构成两位十进制可预置数减法计数器完成;时间显示由两片74LS248和两个共阴数码管对减法计数器进行译码显示;预置减法计数器的时间通过三片8路三态门74LS245选通端DIR 高电平有效。三片74LS245的输入数据分别接30、20、5三个不同的数据,由状态控制器的输出信号控制在不同状态时分别选通74LS245来实现置入不同的数据,状态控制如表二所示。

表二 状态控制表

根据表二可知状态控制逻辑表达式为:

DIR 3021 DIR 20=Q212+Q1 DIR 5=Q2Q 1+Q2Q 1=Q1

将DIR30送到输入数据为30的74LS245的DIR 端;将DIR20送到输入数据为20的74LS245的DIR 端;将DIR5送到输入数据为5的74LS245的DIR 端。状态控制器的转换由计数器来控制,当计数器计到0时,要实现状态的转换,可通过电路中的U10和U14A 来完成。当计数器计到0时,经U10和U14A 输出一上升沿驱动状态控制器转到下一状态。

所设计的定时系统如图七所示,其中X1是状态控制器子电路。

图七 交通定时电路

4.4秒脉冲发生器设计

产生秒脉冲信号的电路有多种形式,本设计中利用555定时器组成的多谐振荡器产生秒脉冲信号。电路见图八,电路的输出脉冲周期T ≈0.7(R 2+2R1)C 2,调节R 2使输出脉冲周期为1s 。

图八 交通灯控制器整机电路

5个人总结

总之, 这次实验我收获颇多。实践是获得知识的一种最好的手段!

但是,实际上不同时刻的车辆流通状况是十分复杂的,是高度非线性的、随机的,还经常受人为因素的影响。采用定时控制经常造成道路有效应用时间的浪费,出现绿灯方向车辆较少,红灯方向车辆积压。它不顾当前道路上交通车辆数的实际情况变化,其最大的缺陷就在于当路况发生变化时,不能满足司机与路人的实际需要,轻者造成时间上的浪费,重者直接导致交通堵塞,导致城市交通效率的下降。据了解,目前有一种使用“模糊控制”技术控制交通等的方法。能够根据十字路口两个方向上车辆动态状况,自动判断红绿灯时间间隔,以保证最大车流量,减少道口的交通堵塞,但是却不像定时控制,能用数字显示器显示当前灯色剩余时间,以便于驾驶员随时掌握自己的驾驶动作,及时停车或启动。假设将二者综合起来考虑,加以设计必定会得到更好的效果。

6参考文献

[1] 蓝运维. 基于FPGA 的交通灯控制系统的仿真[J].现代电子技术,2006(9):125-129.

[2] 何峰. 基于Verilog HDL 设计的交通灯控制系统[J].现代电子技术,2005(8):103-107.

[3] 路而红.专用集成电路设计与电子设计自动化[M].北京:清华大学出版社,2004.

[4] 孙凌翔, 陈世和, 李劲松. 顺序控制法在交通灯控制系统中的应用[J],现代电子技术,2006(10):26-27.

[5] 王成勇. 智能交通灯控制系统[J]. 广东技术师范学院学报,2006(4):92-94.

[6] 陈胜利.PLC 在控制交通信号灯中的应用[J].机电一体化,2003(9):85-87.

[7] 李静. 单交叉口交通灯信号模糊控制及其仿真[J].微计算机信息,2006,22(3-1):33-38.

电子设计自动化实训说明书

题 目: 交通灯控制器的设计 系 部: 信息与控制工程学院 专 业: 电子信息工程 班 级: 06级1班

学生姓名: 朱清美 学 号: [1**********] 指导教师: 张建军

2009年12月21日

目 录

1摘要 .............................................................. 3 2设计任务与要求 .................................................... 4 3设计原理及框图 .................................................... 4 4单元电路设计及仿真调试 ............................................ 6

4.1状态控制器的设计 ............................................ 6 4.2状态译码器设计及仿真调试 .................................... 9 4.3定时系统设计及仿真调试 ..................................... 11 4.4秒脉冲发生器设计 ........................................... 13 5个人总结 ......................................................... 14 6参考文献 ......................................................... 15

1摘要:

分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 关键词:交通控制 交通灯 时间发生器 定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,笔者进行了深入的研究,以下就城乡交通灯控制系统的电路原理、设计计算和实验调试等问题来进行具体分析讨论。

2设计任务与要求

设计一个十字路口交通灯信号控制器,要求如下:

1. 主、支干道交替通行,主干道每次放行30s ,支干道每次放行20s 。 2. 绿灯亮表示可通行,红灯亮表示禁止通行。 3. 每次绿灯变红灯时,黄灯先亮5s 。 4. 在黄灯亮时,原红灯按1Hz 的频率闪烁。

5. 十字路口的交通灯要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。

3设计原理及框图

十字路口的交通灯指挥着行人和各种陈车辆的安全通行。有一个主干道和支干道

的十字路口,如图1所示。

图一

两条支干道上都设置了红、绿、黄3色信号灯。红灯表示禁止通行,绿灯表示可以通行,在绿灯变红灯时先要求黄灯亮几秒钟,以便让停车线一外的车辆体制运行。因为主干道上的车辆多,所以放行的时间要长。

要实现上述交通信号灯的自动控制,则要求控制电路由秒脉冲信号发生器、计数器、状态控制器、信号灯译码驱动电路和数字显示译码驱动电路几部分组成。整机电路的设计框图如图2所示

图二 交通灯控制系统组成框图

状态控制器用于记录十字路口交通灯的工作状态,实现对主、支干道车辆运行状态的控制。状态译码器根据状态控制器所处的状态,通过状态译码器分别驱动点亮相应的信号灯,指挥主、支干道的行人和车辆。通过减法计数器对秒脉冲信号作减计数,完成计时任务,达到控制每一种工作状态持续时间的目的。减法计数器的回零脉冲控制状态控制器完成状态转换,同时状态译码器根据系统下一个工作状态,决定计数器下一次减计数的初始值。减法计数器的状态由BCD 码译码器译码,驱动数码管显示。在黄灯亮期间,状态译码器将秒脉冲引入红灯控制器,使红灯闪烁。

4单元电路设计及仿真调试

4.1状态控制器的设计

根据设计要求,因主干道和支干道各有3种灯(红、黄、绿),它们在正常工作时,发亮的灯只有4种可能的组合:主绿灯亮,支红灯亮,主干道通行;主黄灯

亮,支红灯闪烁,主干道停车;主红灯亮,支绿灯亮,支干道通行;主红灯闪烁,

支黄灯亮支干道停车。各信号灯的工作顺序流程如图三所示。

30s 未到

5s 未到

20s 未到

5s 未到

图三 交通灯工作顺序流程图

信号灯4种不同的状态分别用S0、S1、S2、S3表示,其状态编码及状态转换图如图四所示。

图四 交通灯状态编码及状态转换图

由图四可知其显然是一个2位二进制计数器,可采用多种中规模集成计数器来实现。本电路采用74LS161的4位二进制计数器直接利用其低位构成2位二进制计数器来实现状态的转换,电路如图9五(a )所示。

将状态控制器创建为子电路。分别在各端口接入输入/输出端口,注意端口的左右放置,朝左放置是输入端口,朝右放置是输出端口,然后将电路全选,选择Place/Replace by Subcircuit菜单命令,在弹出的对话框中输入子电路名称statecontrol ,创建的子电路如图五(b )所示,RC1是来自减法计数器的控制脉冲输入端,Q 2和Q 1是控制信号输出端。

(a)

Statecontrol

(b )

4.2状态译码器设计及仿真调试

之间的关系见真值表一。对于信号灯的状态,1表示灯亮,0表示灯灭。

表一 信号灯信号状态真值表

根据真值表,可求出各信号灯的逻辑函数表达式为:

R=Q2Q 1+Q2Q 1=Q2 R=Q2 Y=Q2Q 1 Y=Q2Q 1 G=Q2Q 1 G=Q2Q 1 R=Q2Q 1+Q2Q 1=Q2 2=Q2

y=Q2Q 1 2Q 1 2Q 1 g=Q21

选择发光二极管来模拟交通灯,状态译码器仿真电路如图六所示,其中X1是状态控制器子电路。

由于门电路带灌电流的能力一般比带拉电流的能力强,故当显示电路设计的是输出低电平时,会点亮相应的发光二极管。再考虑到设计任务要求,当黄灯亮时,红灯按1Hz 的频率闪烁。从信号灯的信号状态真值表中可以看出,当黄灯亮时,Q 1必为高电平,而红灯点亮信号与Q 1无关。可利用Q 1信号控制一个三态门电路74LS125,由于74LS125的使能端是低电平有效,所以将Q 1引入到使能端。当黄灯亮时,Q 1为高电平,Q 1为低电平,使能端有效,将秒脉冲信号引到驱动红灯的与非门输入端,使红灯在黄灯亮期间闪烁;否则,将秒脉冲信号隔离,红灯信号不受黄灯信号控制。图9-24所示的仿真电路中,为了快速仿真,来自减法计数器的控制脉冲用了100Hz 的脉冲信号仿真,故秒信号采用了1kHz 的脉冲信号仿真,故秒信号采用了1kHz

的信号仿真。

图六 交通灯状态显示仿真电路

4.3定时系统设计及仿真调试

根据设计要求,交通灯控制系统要有一个能自动置入不同定时时间的定时器,以完成30s 、20s 及5s 的定时任务。该定时器由两片74LS190构成两位十进制可预置数减法计数器完成;时间显示由两片74LS248和两个共阴数码管对减法计数器进行译码显示;预置减法计数器的时间通过三片8路三态门74LS245选通端DIR 高电平有效。三片74LS245的输入数据分别接30、20、5三个不同的数据,由状态控制器的输出信号控制在不同状态时分别选通74LS245来实现置入不同的数据,状态控制如表二所示。

表二 状态控制表

根据表二可知状态控制逻辑表达式为:

DIR 3021 DIR 20=Q212+Q1 DIR 5=Q2Q 1+Q2Q 1=Q1

将DIR30送到输入数据为30的74LS245的DIR 端;将DIR20送到输入数据为20的74LS245的DIR 端;将DIR5送到输入数据为5的74LS245的DIR 端。状态控制器的转换由计数器来控制,当计数器计到0时,要实现状态的转换,可通过电路中的U10和U14A 来完成。当计数器计到0时,经U10和U14A 输出一上升沿驱动状态控制器转到下一状态。

所设计的定时系统如图七所示,其中X1是状态控制器子电路。

图七 交通定时电路

4.4秒脉冲发生器设计

产生秒脉冲信号的电路有多种形式,本设计中利用555定时器组成的多谐振荡器产生秒脉冲信号。电路见图八,电路的输出脉冲周期T ≈0.7(R 2+2R1)C 2,调节R 2使输出脉冲周期为1s 。

图八 交通灯控制器整机电路

5个人总结

总之, 这次实验我收获颇多。实践是获得知识的一种最好的手段!

但是,实际上不同时刻的车辆流通状况是十分复杂的,是高度非线性的、随机的,还经常受人为因素的影响。采用定时控制经常造成道路有效应用时间的浪费,出现绿灯方向车辆较少,红灯方向车辆积压。它不顾当前道路上交通车辆数的实际情况变化,其最大的缺陷就在于当路况发生变化时,不能满足司机与路人的实际需要,轻者造成时间上的浪费,重者直接导致交通堵塞,导致城市交通效率的下降。据了解,目前有一种使用“模糊控制”技术控制交通等的方法。能够根据十字路口两个方向上车辆动态状况,自动判断红绿灯时间间隔,以保证最大车流量,减少道口的交通堵塞,但是却不像定时控制,能用数字显示器显示当前灯色剩余时间,以便于驾驶员随时掌握自己的驾驶动作,及时停车或启动。假设将二者综合起来考虑,加以设计必定会得到更好的效果。

6参考文献

[1] 蓝运维. 基于FPGA 的交通灯控制系统的仿真[J].现代电子技术,2006(9):125-129.

[2] 何峰. 基于Verilog HDL 设计的交通灯控制系统[J].现代电子技术,2005(8):103-107.

[3] 路而红.专用集成电路设计与电子设计自动化[M].北京:清华大学出版社,2004.

[4] 孙凌翔, 陈世和, 李劲松. 顺序控制法在交通灯控制系统中的应用[J],现代电子技术,2006(10):26-27.

[5] 王成勇. 智能交通灯控制系统[J]. 广东技术师范学院学报,2006(4):92-94.

[6] 陈胜利.PLC 在控制交通信号灯中的应用[J].机电一体化,2003(9):85-87.

[7] 李静. 单交叉口交通灯信号模糊控制及其仿真[J].微计算机信息,2006,22(3-1):33-38.


相关文章

  • 太阳能交通灯的控制系统设计
  • 毕业设计(论文)任务书 题目 太阳能交通灯的控制系统设计 主要内容.基本要求.主要参考资料等: 主要内容: 采用太阳能供电,采用单片机自动控制交通信号灯及时间显示,在东南西北四个方向上各有一组红绿灯和数码管时间显示系统,且都有LED点阵组成 ...查看


  • EDA课程设计论文()
  • <EDA技术>课程结业论文 题目:交通灯控制器 姓 名: 班 级: 学 号: 指导教师: 日期:2012年4月30日 摘 要 EDA(Electronic Design Automation)-电子设计自动化,作为现代电子信息工 ...查看


  • 工业控制网络课设
  • 辽 宁 工 业 大 学 课程设计(论文) 题目: 基于Ethernet/IP远程交通灯控制 院(系): 电气工程学院 专业班级: 自动化123班 学 号: 学生姓名: 指导教师: (签字) 起止时间:2015.12.21-2015.12.3 ...查看


  • 毕业论文交通灯单片机
  • 毕业论文 交通灯 单 片机 题 目:LED点阵系统设计 系 部:机电工程系 专 业:机电一体化 班 级:098班 姓 名:范旭辉 学 号:2009048042 指导教师:范旭辉 目录 摘要 ......................... ...查看


  • 十字路口交通灯控制电路的设计
  • 目 录 1.设计的任务与要求„„„„„„„„„„„„„„„„„1 2. 方案论证与选择„„„„„„„„„„„„„„„„„„2 3. 单元电路的设计和元器件的选择„„„„„„„„„„„6 3.1 五秒倒计时减数电路的设计„„„„„„„„„„„ ...查看


  • 数电设计之交通信号灯
  • 课程设计目的 分析了现代城市交通控制与管理问题的现状,结合实验阐述了交通灯控制系统的工作原理,设计出一种简单实用的城市交通灯控制系统的硬件电路设计方案. 通过本次设计来熟悉中规模集成电路进行时序逻辑电路和组合逻辑电路设计的方法,掌握简单数字 ...查看


  • 电子技术综合设计与实践课程设计
  • 课程设计 课程名称电子技术综合设计与实践 题目名称交通灯控制器 学生学院自动化学院 专业班级13级自动化 学 号 学生姓名 组员_____ 指导教师 2015 年11月26日 广东工业大学课程设计任务书 题目名称 学生学院 专业班级 姓名 ...查看


  • 交通灯控制电路设计
  • 长 沙 学 院 电子技术 课程设计说明书 题系 ( 部 目 ) 交通灯控制电路设计 电子信息与电气工程系 电气工程及其自动化 龙欣 张海涛 5.30--6.3 专业(班级) 姓学指起 名 号 导止 教日 师 期 电子技术课程设计任务书(27 ...查看


  • 重庆市轨道交通控制保护区管理办法(试行).
  • 重庆市轨道交通控制保护区 管理办法(试行) 目 录 第一章 第二章 第三章 第四章 第五章 第六章 第一章 总则 第一条 为加强轨道交通控制保护区管理,保障轨道交通建设条件.建设和运营安全,根据<重庆市轨道交通条例>(以下简称& ...查看


热门内容